求EDA教程视频cad免费视频教程下载

您所在位置: &
&nbsp&&nbsp
& 电子技术基础, EDA 技术, 数字信号处理九, 教学目标 数字信号处理器DSP 理论和技术是目前电子技术和IT 领域中的一个基本工程理论与核心技术. 实际上, DSP 技术 &.pdf140页
本文档一共被下载:
次 ,您可免费全文在线阅读后下载本文档
文档加载中...广告还剩秒
需要金币:50 &&
你可能关注的文档:
··········
··········
通信工程专业教学大纲
河海大学《通信工程》专业
教学大纲计算机与信息学院(常州)
2012 年 4 月
1通信工程专业教学大纲
第一部分 基础课. 4
《程序设计 (C语言)》教学大纲4
《电路》教学大纲 7
《模拟电子技术》教学大纲 11
《数字逻辑与系统》教学大纲. 15
《信号与线性系统》教学大纲. 20
《微机原理与接口》教学大纲. 23
第二部分 专业主干课程 27
《电磁场与波》教学大纲27
《数字信号处理》教学大纲 31
《高频电子线路》教学大纲 35
《通信原理》教学大纲. 40
《单片机原理及应用》教学大纲45
《计算机通信网》教学大纲 49
《现代交换技术》教学大纲 53
《数字图像处理》教学大纲 57
第三部分 专业内选修课 60
《信息论与编码》教学大纲 60
《移动通信》教学大纲. 66
《光纤通信》教学大纲. 71
《水声通信技术》教学大纲 74
《无线传感器网络》教学大纲. 77
《射频技术》教学大纲. 81
《科技文献检索、阅读与写作》教学大纲 84
《MATLAB基础》教学大纲87
《DSP技术》 教学大纲. 90
《数字视频处理》教学大纲 92
《语音信号处理》教学大纲 94
第四部分 专业外选修课 97
《.NET程序设计》教学大纲 97
《嵌入式系统》教学大纲. 102
《数据库技术》教学大纲. 104
《HDL及系统设计》教学大纲. 111
第五部分 校内实践 116
《C语言项目设计》教学大纲. 1162通信工程专业教学大纲
《电路实验》教学大纲 117
《电子工程实践》教学大纲120
《模拟电子技术实验》教学大纲. 123
《数字电子技术实验》教学大纲. 127
《高频电子线路课程设计》教学大纲 130
《单片机课程设计》教学大纲 132
《数字图像综合设计》教学大纲. 133
第六部分 企业实践 137
《企业文化》教学大纲 137
《企业工程实践》
正在加载中,请稍后...EDA技术实用教程―VHDL版(第五版)--详细介绍
图书书名 图书作者
图书书号发行号系列名
&当前位置:
EDA技术实用教程―VHDL版(第五版)
EDA技术实用教程―VHDL版(第五版)
作者:潘松、黄继业
书名:EDA技术实用教程―VHDL版(第五版)
定价:¥42 元
丛书名: &
免费资源下载:
本书PPT下载:
目录和样章下载:
模拟试题库下载:
教学资料包下载:
实例素材下载:
标准书号:&978-7-03-
字数(千):&
出版日期:&
发行号:&TP-
点击热度:&2021
习题答案下载:
教学视频下载:
电子教案下载:
.........................................................................................
.........................................................................................
.........................................................................................
&&本书根据课堂教学和实验操作的要求,以提高实际工程设计能力为目的,深入浅出地对EDA技术、VHDL硬件描述语言、FPGA开发应用及相关知识做了系统和完整的介绍,使读者通过本书的学习并完成推荐的实验,能初步了解和掌握EDA的基本内容及实用技术。&&本书包括EDA的基本知识、常用EDA工具的使用方法和目标器件的结构原理、以向导形式和实例为主的方法介绍的多种不同的设计输入方法、对VHDL的设计优化以及基于EDA技术的典型设计项目。各章都安排了习题和针对性较强的实验与设计。书中列举的大部分VHDL设计实例和实验示例实现的EDA工具平台是Quartus&II,硬件平台是Cyclone&III/IV系列FPGA,并在EDA实验系统上通过了硬件测试。&&本书可作为高等院校电子工程、通信、工业自动化、计算机应用技术、电子对抗、仪器仪表、数字信号或图像处理等学科的本科生或研究生的电子设计、EDA技术课程和VHDL硬件描述语言的教材及实验指导书,同时也可作为相关专业技术人员的自学参考书。
.........................................................................................
&&本书以VHDL作为基本硬件描述语言来介绍EDA技术。作为教科书,与科学出版社出版的《EDA技术实用教程――Verilog&HDL版》构成了姐妹篇。&&为了适应EDA技术在高新技术行业就业中的需求和高校教学的要求,突出EDA技术的实用性,以及面向工程实际的特点和自主创新能力的培养,作者力图将EDA技术最新的发展成果、现代电子设计最前沿的理论和技术、国际业界普遍接受和认可的EDA软硬件开发平台的实用方法,通过本书合理的综合和萃取,奉献给广大读者。&&随着EDA技术的发展和应用领域的扩大,EDA技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。同时随着技术市场与人才市场对EDA技术需求的不断提高,产品的市场效率和技术要求也必然会反映到教学和科研领域中来。以最近几届全国大学生电子设计竞赛为例,涉及EDA技术的赛题从未缺席过。对诸如斯坦福大学、麻省理工学院等美国一些著名院校的电子与计算机实验室建设情况的调研也表明,其EDA技术的教学与实践的内容也十分密集,在其本科和研究生教学中有两个明显的特点:其一,各专业中EDA教学实验课程的普及率和渗透率极高;其二,几乎所有实验项目都部分或全部地融入了EDA技术,其中包括数字电路、计算机组成与设计、计算机接口技术、数字通信技术、嵌入式系统、DSP等实验内容,并且更多地注重创新性实验。这显然是科技发展和市场需求双重影响下自然产生的结果。&&基于工程领域中的EDA技术应用的巨大实用价值,以及重视EDA教学中实践能力和创新意识培养的极端重要性,我们对本书各章节做了相应的安排,其特点有以下三个。&&1.&注重实践、实用和创新能力的培养&&除在各章中安排了许多习题外,绝大部分章节还安排了针对性较强的实验与设计项目,使学生对每一章的课堂教学内容和教学效果能及时通过实验得以消化和强化,并尽可能地从学习一开始就有机会将理论知识与实践、自主设计紧密联系起来。&&全书包含数十个实验及其相关的设计项目,这些项目涉及的技术领域宽,知识涉猎密集、针对性强,而且自主创新意识的启示性好。与本书的示例一样,所有的实验项目都通过了EDA工具的仿真测试并通过FPGA平台的硬件验证。每一个实验项目除给出详细的实验目的、实验原理和实验报告要求外,都含2~5个子项目或子任务。它们通常分为:第一(层次)实验任务是与该章某个阐述内容相关的验证性实验,通常提供详细的并被验证的设计源程序和实验方法。学生只需将提供的设计程序输入计算机,并按要求进行编译仿真,在实验系统上实现即可。使学生有一个初步的感性认识,这也提高了实验的效率;第二(层次)实验任务是要求在上一实验基础上做一些改进和发挥;第三个层次的实验通常是提出自主设计的要求和任务;第四、第五个实验层次则在仅给出一些提示的情况下提出自主创新性设计的要求。因此,教师可以根据学时数、教学实验的要求以及不同的学生对象,布置不同层次、含不同任务的实验项目。&&2.&高效的教学模式成就速成&&一般认为EDA技术的难点和学习费时的根源在于硬件描述语言。对此,全书做了有针对性的安排:根据专业特点,摒弃传统的计算机语言的教学模式,打破目前HDL教材通行的编排形式,而以电子线路设计为基点,从实例的介绍中引出VHDL语句语法内容。同时为了尽快进入EDA技术的实践阶段,熟悉EDA开发工具及其相关软硬件的使用方法,及时安排了大量有针对性的实验项目,以便读者能尽早进入数字系统工程设计经验的积累和能力提高阶段,并能通过这些面向实际的实践和实验活动,快速深化对硬件描述语言的理解和掌握对应的设计技巧。&&本书通过一些简单而典型的VHDL设计示例和电路模型,从具体电路和实用背景下引出相关的VHDL语言现象和语句规则,并加以深入浅出地说明,使得读者仅通过前期一些内容的学习便能迅速了解并掌握VHDL描述与逻辑电路间的基本关系,从而极大地降低了HDL的学习难度,大幅提高了学习效率,快速实现了学以致用的目的。我们过去多年的实践已证明这是一种高效学习硬件描述语言和EDA技术的好方法。这种学习流程也是目前国外流行的基于情景和工作过程的教学和学习模式,是一种自顶向下的新的学习模式。&&3.&注重教学选材的灵活性和完整性相结合&&本书的结构特点决定了授课课时数可十分灵活,即可长可短,视具体的专业特点、课程定位及学习者的前期教育力度等因素而定,在20~50学时之间选择。由于本书的特色和定位,加之EDA技术课程的特质,具体教学可以是粗放型的,其中多数内容,包括实践项目可直接放手于学生,更多地让他们自己去查阅资料、提出问题、解决问题,乃至创新与创造;而授课教师,甚至实验教师只需做一个启蒙者、引导者、鼓励者和学生成果的检验者和评判者。授课的过程多数只需点到为止,大可不必拘泥细节,面面俱到。但有一个原则,即实验学时数应多多益善。事实上,现在任何一门课程的学时数总是有限的,为了有效倍增学生的实践和自主设计的时间,可以借鉴清华大学的一项教改措施,即其电子系本科生从一入学就人手获得一块FPGA实验开发板,可从本科一年级一直用到研究生毕业。这是因为EDA技术本身就是一个可把全部实验和设计带回家的课程。我校对于这门课也基本采用了这一措施:每个上EDA课的学生都可借出一套EDA实验板,使他们能利用自己的计算机在课余时间完成自主设计项目,强化学习效果。实践表明,这种安排使得实验课时得到有效延长,教学成效非常明显。&&本书的定位目标是,基于全书给出的完整的知识结构,注重实践第一的观念,强化创新意识的培养,通过课堂合理的教学安排,结合学生明晰的求知觉悟和踏实的实践精神,为了即将离开学校面向招聘者、面向研究生导师、面向社会、面向未来的学生能多一份自信、多一点信心和多一线希望。因此我们建议应该积极鼓励学生利用课余时间尽可能学完本书的全部内容,掌握本书介绍的所有EDA工具软件和相关开发手段,并尽可能多地完成本书配置的实验和设计任务。&&还有一个问题有必要在此探讨,就是在前面曾提到的,本书的定位之说。事实上,自主创新能力的提高绝非一朝一夕之事。多年的教学实践告诉我们,针对这一命题的教改必须从两方面入手,一是教学内容,二是设课时间;两者互为联系,不可偏废。&&前者主要指建立一个内在相关性好、设课时间灵活,且易于将创新能力培养寓于知识传播之中的课程体系。&&后者主要指在课程安排的时段上,将这一体系的课程尽可能地提前。这一举措是成功的关键,因为我们不可能想象到了本科三、四年级才去关注能力培养会有奇迹发生,更不可能指望一两门课程就能解决问题。尤其是以卓越工程师为培养目标的工科高等教育,自主创新能力的培养本身就是一项教学双方必须投入密集实践和探索的创新活动。&&我校的EDA技术国家级精品课程正是针对这一教改目标建立的课程体系,而“数字电子技术基础”是这一体系的组成部分和先导课程。它的提前设课是整个课程体系提前的必要条件。通过数年的试点性教学实践和经验总结,现已成功在部分本科学生中将此课程的设课时间从原来的第4或第5学期提前到了第1或第2学期。而这一体系的其他相关课程,如EDA技术、单片机、SOC片上系统、计算机接口、嵌入式系统和DSP等也相应提前,从而使学生到二年级时就具备了培养工程实践和自主开发能力的条件。&&不可否认,数字电路课程的大幅提前必须要以改革其教学内容为前提,否则将传统的教学内容强行提前必将归于失败。为此,在总结了数字电路多年教改成果的基础上,我们推出了适应新需求的教材,即科学出版社出版的《数字电子技术基础》一书,很好地满足了当前的教改要求。此书创新性地解决了传统教材中的手工数字技术与现代自动化数字技术间的关系,使两者能平稳过渡,且有机融合,在有效促进理论与实践紧密结合的同时,强化实践训练,突显了创新意识启蒙的良好效果,同时实现了与后续课程的良好衔接。尽管这一切尚处于我校的局部教学实践中,但已清晰地展示了诸多颇具说服力的证明。例如相比于其他同类情况(如同年级,同专业,同授业课程等),那些曾经参加这一课程体系的学生在大学生电子设计竞赛、飞思卡尔车模大赛,以及一些国外企业主导的自主设计赛事中,都获得了更多的奖项和更好的成绩。而且这些学生的获奖比例逐年提高,例如我校在2011年全国大学生电子设计竞赛获一二等奖项(分别是3个和6个)的学生中,本科二年级学生的比例高达80%(这年我校多数三年级学生选择考研复习,未参赛)。若按传统的工科本科教学流程,二年级就有能力获全国一等奖是不可想象的事!&&其实,类似的教改活动和教改成绩,我校远非唯一。国内早有不少院校将数字电路放在第1或第2学期,其实践训练的内容包括超过数万至数十万逻辑门规模的数字系统自主设计训练,不少受益的学生在各类电子设计竞赛中也都获得了好成绩。前面提到的清华大学的教改活动也说明,他们至少有部分学生于本科一年级就有数字系统设计方面的训练;后来的调研也证明了这一点,如该校计算机专业本科二年级学生就能自主设计出各种极具创新特色的数字系统,如语音处理及数字立体声播放、硬件超级玛丽游戏显示与控制系统等;又如东南大学在一次省级数字电路课程(尚未学EDA)电子设计竞赛中,有一组同学完成了指纹识别数字锁的设计而获一等奖;再如美国密歇根大学本科一年级学生就能设计数字电子琴这样的复杂系统,其中包括用FPGA控制VGA显示五线谱,PS2键盘作为琴键及数字立体声音乐播放等。有两个问题必须在此说明,第一个问题是关于本书对于Quartus&II版本选择的问题。尽管目前Altera公司早已颁布了Quartus&II&12.0,但本书中的示例说明仍然选择Quartus&II&9.x。这是因为Altera公司已将Quartus&II&10.0及此后版本的软件中曾经一贯内置的门级波形仿真器移除了,并推荐使用接口于Quartus&II的ModelSim-Altera仿真器。然而这一举措对于初学者并不是好消息。因为必须承认,Quartus&II&9.x及之前版本软件中一直内置的波形仿真器的易学、高效和便捷的巨大优势,对于EDA教学和初学者的学习是十分重要的。况且Quartus&II&9.x与Quartus&II&12.0相比,总体上变化不大,而且本书在第14章中针对Test&Bench仿真,重点介绍了ModelSim-Altera的使用方法。&&第二个问题是针对本书中的实验和实践项目所能提供的演示示例源设计文件的问题。本书中多数实验能够提供经硬件验证调试好的演示示例源设计文件,目的是为读者能顺利完成实验验证和设计;有的示例的目的是希望能启发或引导读者完成更有创意的设计,其中一些示例尽管看上去颇有创意,但都不能说是最佳或最终结果,这给读者留有许多改进和发挥的余地。此外还有少数示例无法提供源代码(只能提供演示文件),这是考虑到本书作者以外的设计者的著作权,但这些示例仍能给读者在设计的可行性、创意和创新方面以宝贵的启示。为了本书的顺利出版,杭州康芯电子有限公司的高级工程师姜兆刚先生在IP核的应用、实验设计项目的验证和各种EDA软件工具的安装调试等方面完成了大量的和无可替代的工作,在此表示诚挚的谢意!&&为了尽可能降低成本和售价,本书未配置光盘。与本书相关的资料,包括配套课件、实验示例源程序资料、相关设计项目的参考资料和附录中提到的mif文件编辑生成软件等文件资料都可免费索取,此外,对于一些与本书相关的工具软件,包括Quartus&II、Synplify&Pro、ModelSim-Altera和DSP-Builder/MATLAB等EDA软件的安装使用问题的咨询都可浏览网址www.,或与作者探讨()。所有这些(主要是教学课件)也可直接与科学出版社联系()。&&现代电子设计技术是发展的,相应的教学内容和教学方法也应不断地改进,还有许多问题值得深入探讨,我们真诚地欢迎读者对书中的错误与有失偏颇之处给予批评指正。&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&编&&者&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&2013年5月&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&于杭州电子科技大学
.........................................................................................
第1章&&EDA技术概述&11.1&&EDA技术及其发展&1&1.2&&EDA技术实现目标&3&1.3 硬件描述语言&41.4&&HDL综合&61.5&&自顶向下的设计技术&81.6&&EDA技术的优势&101.7&&EDA设计流程&121.7.1&&设计输入(原理图/HDL文本编辑)&121.7.2&&综合&131.7.3&&适配&141.7.4&&时序仿真与功能仿真&141.7.5&&编程下载&151.7.6&&硬件测试&151.8 ASIC及其设计流程&151.8.1&&ASIC设计简介&151.8.2&&ASIC设计一般流程简述&171.9&&常用EDA工具&181.9.1&&设计输入编辑器&191.9.2&&HDL综合器&191.9.3&&仿真器&201.9.4&&适配器&211.9.5&&下载器&221.10&&Quartus&II概述&221.11&&IP核&231.12&&EDA技术发展趋势管窥&25习题&26第2章&&FPGA与CPLD的结构原理&272.1&&PLD概述&272.1.1&&PLD的发展历程&272.1.2&&PLD分类&282.2&&简单PLD结构原理&292.2.1&&逻辑元件符号表示&292.2.2&&PROM结构原理&302.2.3&&PLA结构原理&322.2.4&&PAL结构原理&332.2.5&&GAL结构原理&342.3&&CPLD的结构原理&362.4&&FPGA的结构原理&392.4.1&&查找表逻辑结构&392.4.2&&Cyclone&III系列器件的结构原理&402.5&&硬件测试&442.5.1&&内部逻辑测试&452.5.2&&JTAG边界扫描&452.6&&PLD产品概述&472.6.1&&Altera公司的PLD器件&472.6.2&&Lattice公司的PLD器件&492.6.3&&Xilinx公司的PLD器件&502.6.4&&Actel公司的PLD器件&512.6.5&&Altera的FPGA配置方式&512.7&&CPLD/FPGA的编程与配置&512.7.1&&CPLD在系统编程&522.7.2&&FPGA配置方式&522.7.3&&FPGA专用配置器件&532.7.4&&使用单片机配置FPGA&542.7.5&&使用CPLD配置FPGA&56习题&56第3章&&组合电路的VHDL设计&573.1&&多路选择器及其VHDL描述&573.2&&半加器及其VHDL描述&613.3&&4选1多路选择器及其VHDL描述&663.3.1&&4选1多路选择器及CASE语句表述方式&663.3.2&&CASE语句&673.3.3&&IEEE库预定义标准逻辑位与矢量&693.3.4&&其他预定义标准数据类型&703.3.5&&信号定义和数据对象&713.3.6&&并置操作符&723.3.7&&4选1多路选择器的VHDL不同描述方式&723.4&&全加器及其VHDL表述&743.4.1&&全加器设计及例化语句应用&743.4.2&&VHDL例化语句&763.4.3&&8位加法器设计及算术操作符应用&773.5&&乘法器及其VHDL表述&793.5.1&&统计位矢中含&'1'&个数的电路模块设计&793.5.2&&FOR_LOOP循环语句用法&803.5.3&&移位相加型乘法器的VHDL表述方法&813.5.4&&GENERIC参数定义语句&823.5.5&&整数数据类型&823.5.6&&省略赋值操作符&833.5.7&&移位操作符&843.5.8&&各类运算操作对数据类型的要求&853.5.9&&数据类型转换函数&883.5.10&&GENERIC参数传递映射语句&92习题&93第4章&&时序仿真与硬件实现&964.1&&VHDL程序输入与仿真测试&964.1.1&&编辑和输入设计文件&964.1.2&&创建工程&974.1.3&&全程编译前约束项目设置&984.1.4&&全程综合与编译&994.1.5&&仿真测试&1014.1.6&&RTL图观察器应用&1034.2&&引脚锁定与硬件测试&1034.2.1&&引脚锁定&1034.2.2&&编译文件下载&1044.2.3&&JTAG间接编程模式&1064.2.4&&USB-Blaster驱动程序安装方法&1074.3&&电路原理图设计流程&1074.4&&HDL版本设置及Analysis&&&Synthesis功能&1104.5&&利用属性表述实现引脚锁定&1114.6&&keep属性应用&1124.7&&SignalProbe使用方法&1134.8&&宏模块逻辑功能查询&114习题&115实验与设计&1164-1&&多路选择器设计实验&1164-2&&8位加法器设计实验&1164-3&&8位硬件乘法器设计实验&1174-4&&十六进制7段数码显示译码器设计&117第5章&&时序电路的VHDL设计&1195.1&&基本时序元件的VHDL表述&1195.1.1&&D触发器的VHDL描述&1195.1.2&&含异步复位和时钟使能的D触发器及其VHDL表述&1225.1.3&&含同步复位控制的D触发器及其VHDL表述&1235.1.4&&基本锁存器及其VHDL表述&1245.1.5&&含清0控制的锁存器及其VHDL表述&1275.1.6&&VHDL实现时序电路的不同表述&1275.1.7&&双边沿触发时序电路设计讨论&1295.2&&计数器的VHDL设计&1295.2.1&&4位二进制加法计数器设计&1295.2.2&&计数器更常用的VHDL表达方式&1305.2.3&&实用计数器的VHDL设计&1325.3&&移位寄存器的VHDL设计&1365.4&&属性描述与定义语句&137习题&140实验与设计&1415-1&&高速硬件除法器设计&1415-2&&移位相加型8位硬件乘法器设计&1425-3&&半整数与奇数分频器设计&1435-4&&不同类型的移位寄存器设计实验&145第6章&&Quartus&II应用深入&1466.1&&时序电路硬件设计与仿真示例&1466.1.1&&编辑电路、创建工程和仿真测试&1466.1.2&&FPGA硬件测试&1476.2&&SignalTap&II的使用方法&1486.3&&编辑SignalTap&II的触发信号&1526.4&&Fitter&Settings项设置&1536.5&&功能块Chip&Planner应用&1536.5.1&&Chip&Planner应用流程说明&1546.5.2&&Chip&Planner说明&1556.6&&Synplify的应用及接口方法&1566.6.1&&Synplify使用流程&1566.6.2&&Synplify与Quartus&II接口&159习题&161实验与设计&1616-1&&计数器设计实验&1616-2&&数码扫描显示电路设计&1626-3&&串行静态显示控制电路设计&1626-4&&基于VHDL代码的频率计设计&1636-5&&VGA彩条信号显示控制电路设计&164第7章&&宏功能模块应用&1697.1&&计数器LPM模块调用&1697.1.1&&计数器模块文本的调用与参数设置&1697.1.2&&创建工程与仿真测试&1727.2&&利用属性控制乘法器的构建&1737.3&&LPM&随机存储器的设置和调用&1747.3.1&&存储器初始化文件&1747.3.2&&LPM_RAM的设置和调用&1757.3.3&&仿真测试RAM宏模块&1787.3.4&&VHDL的存储器描述及相关属性&1787.3.5&&数据类型定义语句&1797.3.6&&存储器配置文件属性定义和结构设置&1837.4&&LPM_ROM的定制和使用示例&1847.4.1&&LPM_ROM的定制调用和测试&1847.4.2&&简易正弦信号发生器设计&1857.4.3&&正弦信号发生器硬件实现和测试&1867.5&&在系统存储器数据读写编辑器应用&1877.6&&LPM嵌入式锁相环调用&1887.7&&In-System&Sources&and&Probes&Editor使用方法&1917.8&&NCO核数控振荡器使用方法&1937.9&&FIR核使用方法&1957.10&&DDS实现原理与应用&1977.10.1&&DDS原理&1977.10.2&&DDS信号发生器设计示例&199习题&201实验与设计&2017-1&&查表式硬件运算器设计&2017-2&&正弦信号发生器设计&2027-3&&DDS正弦信号发生器设计&2027-4&&简易逻辑分析仪设计&2027-5&&移相信号发生器设计&2047-6&&VGA简单图像显示控制模块设计&205第8章&&VHDL设计深入&2078.1&&数据对象&2078.1.1&&常数&2078.1.2&&变量&2088.1.3&&信号&2098.1.4&&进程中的信号赋值与变量赋值&2118.2&&含高阻输出的电路设计&2168.2.1&&三态门设计&2168.2.2&&双向端口的设计方法&2178.2.3&&三态总线电路设计&2188.3&&顺序语句归纳&2208.3.1&&进程语句格式&2208.3.2&&进程结构组成&2218.3.3&&进程要点&2218.4&&并行赋值语句讨论&2228.5&&IF语句概述&2238.6&&仿真延时&2258.6.1&&固有延时&2258.6.2&&传输延时&2268.6.3&&仿真&#68.7&&VHDL的描述风格&2278.7.1&&RTL描述&2278.7.2&&行为描述&2288.7.3&&数据流描述&2298.7.4&&结构描述&229习题&230实验与设计&2308-1&&4×4阵列键盘键信号检测电路设计&2308-2&&乐曲硬件演奏电路设计&2318-3&&PS2键盘控制模型电子琴电路设计&2348-4&&直流电机综合测控系统设计&2378-5&&AM幅度调制信号发生器设计&239第9章&&VHDL设计优化&2419.1&&资源优化&2419.1.1&&资源共享&2419.1.2&&逻辑优化&2439.1.3&&串行化&2449.2&&速度优化&2459.2.1&&流水线设计&2469.2.2&&寄存器配平&2489.2.3&&关键路径法&2499.2.4&&乒乓操作法&2509.2.5&&加法树法&251习题&251实验与设计&2529-1&&采用流水线技术设计高速数字相关器&2529-2&&线性反馈移位寄存器设计&2539-3&&SPWM脉宽调制控制系统设计&2549-4&&数字彩色液晶显示控制电路设计&256第10章&&VHDL有限状态机设计&25710.1&&VHDL状态机的一般形式&25710.1.1&&状态机的特点与优势&25710.1.2&&状态机的一般结构&25810.1.3&&状态机设计初始约束与表述&26210.2&&Moore型有限状态机的设计&26310.2.1&&多进程结构状态机&26310.2.2&&序列检测器之状态机设计&26710.3&&Mealy型有限状态机的设计&26810.4&&状态编码&27210.4.1&&直接输出型编码&27210.4.2&&顺序编码&27410.4.3&&一位热码状态编码&27510.4.4&&状态编码设置&27510.5&&安全状态机设计&27710.5.1&&程序直接导引法&27710.5.2&&状态编码监测法&27810.5.3&&借助EDA优化控制工具生成安全状态机&27910.6&&硬件数字技术排除毛刺&27910.6.1&&延时方式&27910.6.2&&逻辑方式去毛刺&28110.6.3&&定时方式去毛刺&282习题&283实验与设计&28310-1&&序列检测器设计&28310-2&&并行ADC采样控制电路实现与硬件验证&28410-3&&数据采集模块设计&28510-4&&五功能智能逻辑笔设计&28610-5&&通用异步收发器UART设计&28710-6&&串行ADC/DAC采样或信号输出控制电路设计&28910-7&&硬件消抖动电路设计&289第11章&&16位实用CPU创新设计&29011.1&&KX9016的结构与特色&29011.2&&KX9016基本硬件系统设计&39211.2.1&&单步节拍发生模块&29211.2.2&&运算器&29411.2.3&&比较器&29511.2.4&&基本寄存器与寄存器阵列组&29611.2.5&&移位器&29911.2.6&&程序与数据存储器&30011.3&&KX9016v1指令系统设计&30111.3.1&&指令格式&30111.3.2&&指令操作码&30211.3.3&&软件程序设计实例&30311.3.4&&KX9016v1控制器设计&30511.3.5&&指令设计实例详解&30911.4&&KX9016的时序仿真与硬件测试&31011.4.1&&时序仿真与指令执行波形分析&31011.4.2&&CPU工作情况的硬件测试&31211.5&&KX9016应用程序设计实例和系统优化&31411.5.1&&除法算法及其硬件实现&31511.5.2&&乘法算法及其硬件实现&31511.5.3&&KX9016v1的硬件系统优化&317习题&318实验与设计&31911-1&&16位CPU验证性设计综合实验&31911-2&&新指令设计及程序测试实验&31911-3&&16位CPU的优化设计与创新&32011-4&&CPU创新设计竞赛&321第12章&&MCU与FPGA片上系统开发&32312.1&&FPGA扩展MCU开发技术&32312.1.1&&FPGA扩展方案及其系统设计技术&32412.1.2&&基于单片机IP软核的SOC设计方案&32712.2&&基于单片机核的FPGA片上系统设计&330实验与设计&33312-1&&脉宽/占空比/等精度频率多功能测试仪设计&333第13章&&VHDL语法补充说明&34013.1&&VHDL库&34013.1.1&&库的种类&34013.1.2&&库的用法&34213.2&&VHDL程序包&34313.3&&VHDL文字规则补充说明&34613.3.1&&数字&34613.3.2&&字符串&34613.3.3&&标识符及其表述规则&34713.3.4&&下标名&34813.4&&子程序&34813.4.1&&函数&34913.4.2&&重载函数&35113.4.3&&决断函数&35413.4.4&&过程&35413.4.5&&重载过程&35613.5&&数据类型&35713.6&&VHDL操作符补充说明&35913.6.1&&逻辑操作符&35913.6.2&&关系操作符&36013.6.3&&算术操作符&36013.7&&VHDL基本语句补充说明&36213.7.1&&NEXT语句&36213.7.2&&EXIT语句&36313.7.3&&WAIT语句&36413.7.4&&子程序调用语句&36713.7.5&&RETURN语句&36913.8&&VHDL并行语句补充说明&37013.8.1&&并行信号赋值语句&37113.8.2&&块语句&37113.8.3&&并行过程调用语句&37213.8.4&&生成语句&37413.8.5&&REPORT语句&37613.8.6&&断言语句&377习题&379第14章&&VHDL&Test&Bench仿真&38014.1&&VHDL行为仿真流程&38114.2&&VHDL测试基准实例&38314.3&&VHDL&Test&Bench测试流程&385习题&388实验与设计&38814-1&&在ModelSim上对VHDL&Test&Bench进行仿真&388附录&&EDA开发系统及相关软硬件&390参考文献&396
All Rights Reserved
地址:北京市海淀区北三环西路43号青云当代大厦21层2109&& 邮编:100086
站长QQ: Email: 电话:010-01
版权所有:科学出版社 职教技术出版中心

我要回帖

更多关于 java免费视频教程 的文章

 

随机推荐