求个按键式数字密码锁课程设计(数字频率计)的电子电工课程设计

数字频率计电子课程设计_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
共10个文档
格式 文档名称 用户评分 浏览量 下载量 上传我的文档
 下载
 收藏
该文档贡献者很忙,什么也没留下。
 下载此文档
正在努力加载中...
电工电子技术课程设计__数字频率计(DOC)
下载积分:1000
内容提示:电工电子技术课程设计__数字频率计(DOC)
文档格式:DOC|
浏览次数:0|
上传日期: 03:26:30|
文档星级:
该用户还上传了这些文档
电工电子技术课程设计__数字频率计(DOC)
官方公共微信文档分类:
在线文档经过高度压缩,下载原文更清晰。
淘豆网网友近日为您收集整理了关于现代电子系统课程设计?-简易数字频率计设计的文档,希望对您的工作和学习有所帮助。以下是文档介绍:现代电子系统课程设计?-简易数字频率计设计 - 1 -河南科技大学课程设计说明书课程名称现代电子系统课程设计题目简易数字频率计设计学院电气工程学院班级电信科 111学生姓名打死都不说指导教师打死都不说日期 - 2 -课程设计任务书(指导教师填写)课程设计名称现代电子系统课程设计学生姓名打死都不说专业班级电信科设计题目简易数字频率计设计一、课程设计目的掌握高速 AD 的使用方法;掌握频率计的工作原理;掌握 GW48_SOPC 实验箱的使用方法;了解基于 FPGA 的电子系统的设计方法。二、设计内容、技术条件和要求设计一个具有如下功能的简易频率计。(1)基本要求:a.被测信号的频率范围为 1~20kHz,用 4 位数码管显示数据,十进制数值显示。b.被测信号为幅值 1~3V 的方波、脉冲信号。c.具有超量程警告(可以用 LED 灯显示,也可以用蜂鸣器报警)。d.当测量脉冲信号时,能显示其占空比(精度误差不大于 1%)。(2)发挥部分a.修改设计,实现自动切换量程。b.扩宽被测信号能测量正弦波、三角波。c.其它。三、时间进度安排布置课题和讲解:1 天查阅资料、设计:4 天(来源:淘豆网[/p-8129466.html])实验:3 天撰写报告:2 天四、主要参考文献何小艇《电子系统设计》浙江大学出版社 2008.1潘松黄继业《EDA 技术实用教程》科学出版社 2006.10齐晶晶《现代电子系统设计》实验指导书电工电子实验教学中心 2009.8指导教师签字: 2014 年 11 月 21 日- 3 -目录一任务解析..............................................................................................................51.1 设计目标及其性能............................................................................................................. - 5 -1.2 系统原理框图.........................................................(来源:淘豆网[/p-8129466.html])............................................................ - 5 -二系统方案论证.............................................................................................................. - 5 -2.1 方案比较........................................................................................................................... - 5 -2.2 方案论证.......................................................................................................................(来源:淘豆网[/p-8129466.html]).........7三系统结构............................................................................................................................73.1 系统组成及原理......................................................................................................................7四总电路图4.1 模数转换模块........................................................................................................................84.2 整形电路模块.....................................(来源:淘豆网[/p-8129466.html])................................................. 错误!未定义书签。4.3 占空比测量模块.................................................................................................................. 114.4 频率测量及报警模块..........................................................................................................134.5 锁存与显示模块..................................................................................................................154. 6 总电路仿真图.......(来源:淘豆网[/p-8129466.html])...............................................................................................................194.7 硬件验证..............................................................................................................................184.8 设计总结..............................................................................................................................19五心得体会...............................................................(来源:淘豆网[/p-8129466.html])...........................................................20附录 1 系统原理框图.................................................................................................................20- 4 -摘要本文基于 FPGA 的测频原理,给出了通过 FPGA 来设计数字频率计的具体方法。并在此基础上增加了测量周期、脉冲宽度、占空比的功能。设计中,以 Alteta FPGA 系列CycloneⅡ EP1C6Q240C8 器件为核心;运用 VHDL 语言设计功能模块;运用 SOPC 设计技术来配置 NiosII 系统,进行信号的控制、数据读取、运算处理、液晶的显示。此次设计用 VHDL 语言在 FPGA 器件上实现数字频率计测频系统,能够用十进制数码显示频率范围为0-20KHZ 被测信号的频率;能够测量正弦波、方波和三(来源:淘豆网[/p-8129466.html])角波等信号的频率,并实现自动量程切换;能够测量的信号的占空比。结合 GW48 实验教学系统特定硬件资源,通过五个功能模块的实现整个系统的设计,分别是:模数转换模块:将信号发生器输入的模拟信号通过 AD0809 转化成数字信号。信号整形模块:将正弦波、三角波信号转换为方波信号。频率测量及报警模块:利用直接测频法和测周期法完成被测信号的频率测量。当被测信号的频率超过量程时能实现报警功能。占空比测量模块:本设计通过测信号的脉冲宽度间接实现占空比的测量。显示模块:为了提高人机交互的方便性及设备的利用率,设置显示控制电路用来控制频率值和占空比值的交换显示。基于传统测频原理的频率计的测量精度将随着被测信号频率的下降而降低,在实用中有很大的局限性,而频率计不但有较高的测量精度,而且在整个测频区域内保持恒定的测试精度。测频方法是一种在直接测频方法基础上发展起来的测频方法,该方法测量精度高、频段宽,在频率测量中具有广泛的应用前景。采用 VDHL 编程设计实现的数字频率计,除被测信号的模数转换部分、键输入部分和数(来源:淘豆网[/p-8129466.html])码显示部分以外,其余全部在一片 FPGA 芯片上实现,整个系统非常精简,而且具有灵活的现场可更改性。在不更改硬件电路的基础上,对系统进行各种改进还可以进一步提高系统的性能。因此,该数字频率计具有具有高速、精确、可靠、功耗低、抗干扰性强和现场可编程等优点。经过修改设计后,实现自动切换量程和跳变阈值自动进行调节。其功能性也得到了提高,用 VHDL 语言写可以大大的缩短系统的设计周期,以适应当前品类多、批量小的电子市场需要,提高产品的竞争能力。使用该语言来设计数字系统是电子设计技术的趋势所趋。关键词:频率计、FPGA、频率测量、占空比、直接测频法- 5 -一任务解析1.1 设计目标本次课程设计的任务是设计一个简易数字频率计。用它可以测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。简易数字频率计可实现用十进制数字显示被测信号频率,具有超量程警告的功能,并能显示其占空比。经过修改设计后,实现自动切换量程和跳变阈值自动进行调节。同时通过本次课程设计掌握高速 AD 的使用方法,掌握频率计的工作原理,掌(来源:淘豆网[/p-8129466.html])握 GW48_SOPC 实验箱的使用方法,了解基于 FPGA 的电子系统的设计方法。频率计主要用于测量正弦波、矩形波、三角波等周期信号的频率值。其扩展功能可以实现自动切换量程,使整形时,以实现扩宽被测信号的幅值范围。此次数字频率计要达到的基本要求有:被测信号的频率范围为 1~20kHz;测量结果直接用十进制数值表示,并用 4 位数码管显示数据;被测信号可以是正弦波、三角波、方波,幅值 1~3V 不等;具有超量程警告,可以用 LED 灯显示,也可以用蜂鸣器报警来实现;当测量脉冲信号时,能显示其占空比,精度误差不大于 1%。发挥部分的要求有:修改设计,实现自动切换量程。扩宽被测信号能测量正弦波、三角波。根据自己情况,做适当发挥扩展。1.2 系统原理框图数字频率计的系统原理框图如图 1 所示。被测信号二系统方案论证2.1 方案比较在具体是现实时,又可以采用单片机或者 FPGA 来实现,具体有如下几种方案:方案一:系统测频部分采用 FPGA 来实现,即利用 FPGA 产生时钟并完成测量,用机械式功能转(来源:淘豆网[/p-8129466.html])换开关换档,完成测频率功能。由于 FPGA 的工作频率比单片机的高很多,因此可以考虑直接利用 FPGA 产生信号的高频参考频率,这样就可以在一定程度上利用前面讲的的测周占空比测量比较器数码管显示AD 转换频率测量- 6 -期法,同时能够在误差范围内满足误差要求。此方案对输入信号作分频整形处理后,再与1 秒脉宽带信号共同输入与非门,其输出作为计数脉冲,由计数器计数,然后锁存、译码输出到数码管显示。其原理框图如图 2-4 所示。图 2-1 基于 FPGA 方案的原理框图方案二:本方案主要以数字器件为核心,主要分为时基电路,逻辑控制电路,放大整形电路,闸门电路,计数电路,锁存电路,译码显示电路七大部分。其原理框图如图 2.2所示逻辑控制电路译码显示器锁存器闸门电路计数器时基电路放大整形电路- 7 -2.2 方案二原理框图2.2 方案论证方案一:采用基于 FPGA 的方案,特点是 FPGA 电路应用技术成熟,能可靠的完成频率计的基本功能,结构简单,量程可以自动切换。该方案采用 FPGA 作为信号处理及系统控制核心,完成包括计数、门控、显示等一系列工作。利用了 FPGA 的可编程和大规模集成的特点,使电路大为简化,能可靠的完成频率计的基本功能。方案二:本方案使用大量的数字器件,被测量信号放大整形电路变成计数器所要求的脉冲信号,其频率于被测信号的频率相同。同时时基电路提供标准时间基准信号,其高电平持续时间 1s,当 1s 信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到 1s 信号结束闸门关闭,停止计数。若在闸门时间 1s 内计数器计得的脉冲个数为 N,则被测信号频率 FX=NHZ。逻辑控制电路的作用有两个:一是产生锁存脉冲,是显示器上的数字稳定;二是产生清零脉冲,使计数器每次测量从零开始计数。因此,选择第一种方案,理由如下:由于 FPGA 本身是将大量的逻辑功能继承与一个器件内,集成度要远远高于单片机,能够利用的资源也相对充裕,可以较好地完成要求。对于 FPGA 的设计,可以直接采用 VHDL 硬件语言直接进行的,在不同的场合,我们只要对语言进行适当的改动,就可实现具体情况具体应用。三系统组成及原理3.1 系统组成AD0809 模数转换模块:将信号发生器传过来的模拟信号转化成数字信号。该模块主要有 AD0809 和 FPGA 控制模块两部分组成。AD0809 模数转换,是为把模拟信号转换为信息基本相同的数字信号而设计的芯片。FPGA 控制模块主要控制 AD0809 芯片的数模转换过程。整流整形模块:该模块将 AD0809 传输来数值与规定的参比值相比较,以产生一个差值信号,从而实现将的 8 位并行数字信号转换为串行的单脉冲信号。频率测量及报警模块:该模块是整个系统的核心。利用直接测频法和测周期法完成被测信号的频率测量。并且当被测信号的频率超过量程时实现报警功能。占空比测量模块:该模块主要用来测量被测信号的占空比。测占空比实质就是测信号的脉冲宽度,测出一个周期占用的时间和其中高电平占用的时间,二者相比即可得占空比的数值。- 8 -数码管显示模块:该模块主要实现频率和占空比的显示和控制。本系统除了利用特定硬件资源外,还需通过软件实现五个模块的设计,分别是:AD08009 模数转换模块,整流整形模块,频率测量及报警模块,占空比测量模块,数码管显示模块。在一个测量周期过程中,被测周期信号在输入电路中经过放大、整形、微分操作之后形成特定周期的窄脉冲,送到主门的一个输入端。主门的另外一个输入端为时基电路产生电路产生的闸门脉冲。在一串理想的脉冲序列中(如方波),正脉冲的持续时间与脉冲总周期的比值。3.2 系统原理由于本课程设计是基于特定的硬件平台,在 GW48-SOPC 实验开发系统上进行实现对被测信号通过 AD 进行转换,把转换的结果和给定的阈值进行比较,产生相应的脉冲信号。得到脉冲信号之后,先进行频率测量,给定 1S 的基准信号,在 1S 信号内对脉冲信号进行计数,计数的方式采用 BCD 码,然后把计数结果直接送数码管显示,对应的就是十进制的数值。为了实现占空比精度的要求,在适当的范围内竟可能提高基准信号的频率。通过一个LED 灯来表示相应的单位。然后对脉冲信号进行占空比测量,给定一个高频的基准信号,在脉冲信号的高电平期间对脉冲信号进行计数,把计数结果进行相应的运算,运算结果直接送数码管显示,对应的也是十进制显示。对于报警信号,我设计的方案是接数码管显示,频率超过 3khz 时就会发出警告,数码管指示灯全部变为。四模数转换模块4.1 AD0809 工作原理ADC0809 是 CMOS 的 8 位 A/D 转换器,片内有 8 路模拟开关,可控制 8 个模拟量中的一个进入转换器中。转换时间约 100μs,含锁存控制的 8 路多路开关,输出有三态缓冲器控制,单 5V 电源供电。- 9 -图 2-1 ADC0809 工作时序主要控制信号如图 2-1 所示:START 是转换启动信号,高电平有效;ALE 是 3 位通道选择地址(ADDC、ADDB、ADDA)信号的锁存信号。当模拟量送至某一输入端(如 IN1 或IN2 等),由 3 位地址信号选择,而地址信号由 ALE 锁存;EOC 是转换情况状态信号,当启动转换约 100μs 后,EOC 产生一个负脉冲,以示转换结束;在 EOC 的上升沿后,若使输出使能信号 OE 为高电平,则控制打开三态缓冲器,把转换好的 8 位数据结果输至数据总线,至此 ADC0809 的一次转换结束。在 QuartusⅡ中对转换控制模块完成功能仿真后,编辑波形仿真文件,运行的得到的仿真图如下:图 4-5 AD 仿真波形图从图 4-5 中可以看出,每五个 CLK 的周期完成一次信号转换。在第二个 CLK 周期即- 10 -S1 状态 ALE,START 信号同时变为高电,从而平启动采样。在第三个 CLK 周期即 S2 状态时,因为此时 EOC=1,指示状态转换结束。在第四个 CLK 周期即 S3 状态 LOCK0 信号变为高电平,输出被数据锁存;与此同时 OE 信号变为高电平, 3 态控制门打开,数据从 8 位数据端口 Q 输出。在整个转换过程中,ADDA 信号一直保持高电平,控制最低位通道信号输入。AD 控制模块结构图4.2 整形电路原理整形电路就是要将被测信号转换成矩形波的形式去测量。被测信号可以为正弦波、三角波、方波、脉冲等周期波形,通过 AD0809 出来的波形变成为 8 位的二进制数字,然后将八位的二进制数与一个数字进行比较,高于这个数字就变成高电平,低于这个数字的变成为低电平。如
这组二进制数进行比较,或者是和 125 比较,即八位数字的十进制数是 255,其中间值取 125,把数字量变为占空比为 50%的方波,该方波的周期也就是 1s,方便下一步的占空比测量和频率计测量。用到的比较器是把 ADC0809 转换的结果和给定的阈值进行比较,输出产生脉冲信号,结果是把正弦波和三角波转换成方波。将其 VHDL 的程序代码如下:architecture one of bjzx isbeginprocess(d)beginif d &&& thenclkin&='1';elseclkin&='0';播放器加载中,请稍候...
该用户其他文档
下载所得到的文件列表现代电子系统课程设计?-简易数字频率计设计.doc
文档介绍:
现代电子系统课程设计?-简易数字频率计设计 - 1 -河南科技大学课程设计说明书课程名称现代电子系统课程设计题目简易数字频率计设计学院电气工程学院班级电信科 111学生姓名打死都不说指导教师打死都不说日期 - 2 -课程设计任务书(指导教师填写)课程设计名称现代电子系统课程设计学生姓名打死都不说专业班级电信科...
内容来自淘豆网转载请标明出处. 上传我的文档
 下载
 收藏
该文档贡献者很忙,什么也没留下。
 下载此文档
正在努力加载中...
简易数字频率计电工课程设计
下载积分:850
内容提示:简易数字频率计电工课程设计,下载后留下邮箱可以发送word版的给你!
文档格式:PDF|
浏览次数:17|
上传日期: 14:02:49|
文档星级:
该用户还上传了这些文档
简易数字频率计电工课程设计
官方公共微信《电工与电子技术基础》课程设计报告_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
评价文档:
《电工与电子技术基础》课程设计报告
简​易​频​率​设​计
阅读已结束,如果下载本文需要使用
想免费下载本文?
把文档贴到Blog、BBS或个人站等:
普通尺寸(450*500pix)
较大尺寸(630*500pix)
你可能喜欢

我要回帖

更多关于 数字密码锁课程设计 的文章

 

随机推荐