为什么modelsim 10.1a破解10.2里有UVM的库,但HELLO

thank wrtg
UID71655&帖子97&精华0&积分551&资产551 信元&发贴收入555 信元&推广收入0 信元&附件收入0 信元&下载支出2129 信元&阅读权限30&在线时间249 小时&注册时间&最后登录&
我 是在window 下,10.a版本。
1.set UVM_HOME d:/copy/uvm-1.1b
2.copy的 modelsim 的 uvm_dpi.dll{c:/altera/12.0/modelsim_ase/gcc-4.2.1-mingw32vc9/bin/g++.exe -g -DQUESTA -W -shared -Bsymbolic -Ic:/altera/12.0/modelsim_ase/include&&$UVM_HOME/src/dpi/uvm_dpi.cc -o&&$UVM_HOME/lib/uvm_dpi.dll c:/altera/12.0/modelsim_ase/win32aloem/mtipli.dll -lregex}
3.vlib work
4.vlog +incdir+$UVM_HOME/src $UVM_HOME/src/uvm_pkg.sv
5.vlog +incdir+$UVM_HOME/src hello_world.sv
6.vsim -c -sv_lib uvm_dpi hello_world (把lib/uvm_dpi.dll copy到当前路径)
UID966139&帖子104&精华0&积分926&资产926 信元&发贴收入550 信元&推广收入0 信元&附件收入2556 信元&下载支出4641 信元&阅读权限30&在线时间128 小时&注册时间&最后登录&
xie xie fen xiang!
never give up!
UID492548&帖子31&精华0&积分0&资产0 信元&发贴收入165 信元&推广收入0 信元&附件收入212 信元&下载支出945 信元&阅读权限10&在线时间8 小时&注册时间&最后登录&
学习学习!!!!!!!!!!
UID833877&帖子36&精华0&积分574&资产574 信元&发贴收入180 信元&推广收入0 信元&附件收入0 信元&下载支出266 信元&阅读权限30&在线时间45 小时&注册时间&最后登录&
& & 请问第一步和第二步是什么意思啊?请详细说明,谢谢!
UID1092870&帖子122&精华0&积分6170&资产6170 信元&发贴收入610 信元&推广收入0 信元&附件收入0 信元&下载支出770 信元&阅读权限50&在线时间163 小时&注册时间&最后登录&
UID1207365&帖子303&精华0&积分731&资产731 信元&发贴收入1535 信元&推广收入0 信元&附件收入0 信元&下载支出864 信元&阅读权限30&在线时间86 小时&注册时间&最后登录&
非常感谢,我破产了。
[通过 QQ、MSN 分享给朋友][转载]windows环境下配置modelsim_uvm验证平台
UVM验证方法学,很好的验证工具,下面用ModelSim-uvm做一个Hello world。
`include "uvm_pkg.sv"
module hello_world_
import uvm_pkg::*;
`include "uvm_macros.svh"
initial begin
`uvm_info ("info1","Hello World!", UVM_LOW)
endmodule: hello_world_example
1.安装modelsim se 10.1a
2.下载uvm_1.1d
。然后解压缩后,拷贝到modelsim安装目录的../verilog_src/目录下,我的目录是C:softwaremodeltech_10.1averilog_src.这里注意,拷贝过来之后,打开uvm_1.1d文件夹,应该能直接看到bin/docs/examples/src/等文件夹和几个txt文件。
3.配置系统环境变量。打开modelsim,在vsim&命令行下输入
n&set&UVM_HOME&c:/software/modeltech_10.1a/verilog_src/uvm-1.1d&
n&set&MODEL_TECH&c:/software/modeltech_10.1a/win32
(也可以设置为系统环境变量)
4.编译uvm_1.1d库文件。所谓编译库文件,我的理解是将uvm_1.1d编译成windows中modelsim可以加载的dll文件,因此这一个步骤是生成一个.dll文件的过程。这里需要GCC的编译命令,最新的是(modelsim-gcc-4.2.1-mingw32vc9)
解压缩后,复制到modelsim安装目录下,我的复制目录是(C:softwaremodeltech_10.1agcc-4.2.1-mingw32vc9),打开该文件后能够直接看到bin/doc/include/info/lib/libexec/man/share文件夹,上面这些基本工作做完后,就可以编译.dll文件了。
5.在vsim命令行下输入
n&c:/software/modeltech_10.1a/gcc-4.2.1-mingw32vc9/bin/g++.exe
-DQUESTA -W -shared -Bsymbolic -I $MODEL_TECH/../include
&$UVM_HOME/src/dpi/uvm_dpi.cc -o
&$UVM_HOME/lib/uvm_dpi.dll $MODEL_TECH/mtipli.dll
注意,要先在$UVM_HOME目录下创建lib文件夹。
6.下载hello_world.sv
创建modelsim工程,添加hello_world.sv文件。假设工程目录为
C:/modelsim_uvm/
set UVM_HOME c:/modeltech_10.0c/verilog_src/uvm-1.1d
set MODEL_TECH c:/modeltech_10.0c/win32
7.编译Hello_world_example源文件
n&vlog&+incdir+$UVM_HOME/src&-L&mtiAvm&-L&mtiOvm&-L&mtiUvm&-L&mtiUPF&
C:/modelsim_uvm/hello_world.sv&&
vlog +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF C:/t_uvm/top.sv
8.仿真Hello_world_example(需要调用刚刚编译的uvm_dpi.dll)
n&vsim&-c&-sv_lib&$UVM_HOME/lib/uvm_dpi&work.hello_world_example
选run 100ns
Hello World成功运行。
以上网友发言只代表其个人观点,不代表新浪网的观点或立场。自己又制作了一个uvm_dpi.dll,但还是不行,报错是一样的,看上去貌似是没有找到c编译器,是不是哪里路径设置的不对?
UID832707&帖子43&精华0&积分961&资产961 信元&发贴收入235 信元&推广收入0 信元&附件收入86 信元&下载支出1278 信元&阅读权限30&在线时间151 小时&注册时间&最后登录&
鼓捣了半天,下了一个gcc-4.2.1-mingw32vc9,解压到modelsim路径下,问题解决,sigh..
UID71655&帖子97&精华0&积分551&资产551 信元&发贴收入555 信元&推广收入0 信元&附件收入0 信元&下载支出2129 信元&阅读权限30&在线时间249 小时&注册时间&最后登录&
问个问题哈,我使用的是10.d版本的。编译顺序是
2.c:/altera/12.0/modelsim_ase/gcc-4.2.1-mingw32vc9/bin/g++.exe -g -DQUESTA -W -shared -Bsymbolic -Ic:/altera/12.0/modelsim_ase/include&&$UVM_HOME/src/dpi/uvm_dpi.cc -o&&$UVM_HOME/lib/uvm_dpi.dll c:/altera/12.0/modelsim_ase/win32aloem/mtipli.dll -lregex
3.vlib work
4.vlog +incdir+$UVM_HOME/src $UVM_HOME/src/uvm_pkg.sv
5.vlog +incdir+$UVM_HOME/src hello_world.sv
6.vsim -c -sv_lib $UVM_HOME/lib/uvm_dpi hello_world。
但是在跑的时候遇到了,
7.# HDL call sequence:
# Stopped at producer.sv 60 Task hello_world/producer::run_phase
# called from&&d:/copy/uvm-1.1b/src/base/uvm_common_phases.svh 245 Task uvm_pkg/uvm_run_phase::exec_task
# called from&&d:/copy/uvm-1.1b/src/base/uvm_task_phase.svh 150 Function uvm_pkg/uvm_task_phase::execute。
另外在win32aloem少了两个文件:dpghelp.dll imagehlp.dll,网上下了两个。
我看那个地方是:oid'(p.randomize());
你遇到这个问题没有
UID832707&帖子43&精华0&积分961&资产961 信元&发贴收入235 信元&推广收入0 信元&附件收入86 信元&下载支出1278 信元&阅读权限30&在线时间151 小时&注册时间&最后登录&
& &这个是正常的吧,至少编译和run已经没问题了
UID1015826&帖子9&精华0&积分43&资产43 信元&发贴收入45 信元&推广收入0 信元&附件收入0 信元&下载支出82 信元&阅读权限10&在线时间8 小时&注册时间&最后登录&
我也遇到这个问题了,试试
UID955633&帖子19&精华0&积分0&资产0 信元&发贴收入105 信元&推广收入0 信元&附件收入0 信元&下载支出203 信元&阅读权限10&在线时间3 小时&注册时间&最后登录&
好啊!谢谢你了!感谢!
UID60728&帖子169&精华0&积分0&资产0 信元&发贴收入880 信元&推广收入0 信元&附件收入0 信元&下载支出993 信元&阅读权限10&在线时间53 小时&注册时间&最后登录&
JDKDDKKDJDK
UID1324577&帖子4&精华0&积分44&资产44 信元&发贴收入20 信元&推广收入0 信元&附件收入0 信元&下载支出155 信元&阅读权限10&在线时间3 小时&注册时间&最后登录&
貌似解压完还有问题
UID544020&帖子1198&精华0&积分29459&资产29459 信元&发贴收入6120 信元&推广收入0 信元&附件收入1017 信元&下载支出5918 信元&阅读权限80&在线时间412 小时&注册时间&最后登录&
mengnantiger
& & 请教一下,你使用的编译命令是什么啊? 我也在安装目录中解压了,但是sccom命令却识别不了,说是gcc编译器不存在。。。
[通过 QQ、MSN 分享给朋友]为什么modelsim10.2里有UVM的库,但HELLO_百度知道
为什么modelsim10.2里有UVM的库,但HELLO
src/software/uvm_dpi.gz (3:&#47.cc -o
$UVM_HOME&#47,打开uvm_1;modeltech_10;命令行下输入vsim n&modelsim_uvm&#47.sv
8.在vsim命令行下输入vsim n&software/include
$UVM_HOME&#47.;lib&#47.配置系统环境变量.1a\software&#47.rar (14 MB)
modelsim-gcc-4;mtipli,要先在$UVM_HOME目录下创建lib文件夹;uvm_dpi:&#47。打开modelsim.下载hello_dpi&#47.1a&#47,应该能直接看到bin&#47.dll $MODEL_TECH/set MODEL_TECHlib/gcc-4;vlog +incdir+$UVM_HOME/software&#92.1-mingw32vc9.1d
uvm-1。假设工程目录为 C;examples&#47:&#47.2,我的复制目录是(C;include&#47.part01.1d编译成windows中modelsim可以加载的dll文件;verilog_src/modeltech_10.07 MB) ;doc&#47.rar (231 Bytes)创建modelsim工程;bin&#47,拷贝到modelsim安装目录的.编译Hello_world_example源文件vsim n&gt。6,因此这一个步骤是生成一个.1d vsim n&vsim -c -sv_lib $UVM_HOME&#47.2.65 MB)解压缩后;目录下;gcc-4;c,添加hello_world:&#471.part02.&#47,拷贝过来之后.编译uvm_1,我的目录是C.sv
hello_verilog_src.2;src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF C.1d库文件.dll文件的过程.tar:\7:/man&#47.exe -DQUESTA -W -shared -Bsymbolic -I $MODEL_TECH&#47,就可以编译;set UVM_HOME c.1-mingw32vc9) modelsim-gcc-4,最新的是(modelsim-gcc-4.sv文件.1-mingw32vc9。然后解压缩后;win32
(也可以设置为系统环境变量)4.这里注意.下载uvm_1;docs&#47,打开该文件后能够直接看到bin&#47。所谓编译库文件.2;share文件夹;,我的理解是将uvm_1.dll -lregex注意;modeltech_10.2;src&#47.1a&#47.1-mingw32vc9/software&#92。5.1d文件夹;lib&#47.1a&#47。这里需要GCC的编译命令.仿真Hello_world_example(需要调用刚刚编译的uvm_dpi.;等文件夹和几个txt文件;verilog_src/uvm-1,上面这些基本工作做完后.1a\g++,复制到modelsim安装目录下.rar (5;modeltech_10:&#92.dll文件了;modelsim_uvm/info&#47.1-mingw32vc9)。3;modeltech_10;hello_world.dll)vsim n&gt,在vsim&gt.安装modelsim se 10;libexec&#47.1a2;uvm_dpi work.&#47.1d
其他类似问题
为您推荐:
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁你的位置:
为什么modelsim10.2里有UVM的库,但HELLO_WORLD例程还是无法编译
vlog -work work -vopt -sv D:/modeltech64_10.2/examples/SYS/HELLO_WORLD.sv
Model Technology ModelSim SE-64 vlog 10.2 Compiler 2013.02 Feb&&2 2013
** Error: D:/modeltech64_10.2/examples/SYS/HELLO_WORLD.sv(1): Cannot open `include file &D:/modeltech64_10.2/ovm-2.1.2/../verilog_src/ovm-2.1.2/src/uvm_pkg.sv&.
-- Compiling module hello_world_example
-- Importing package uvm_pkg (uvm-1.1c Built-in)
** Error: D:/modeltech64_10.2/examples/SYS/HELLO_WORLD.sv(4): Cannot open `include file &D:/modeltech64_10.2/ovm-2.1.2/../verilog_src/ovm-2.1.2/src/uvm_macros.svh&.

我要回帖

更多关于 uvm库 的文章

 

随机推荐