基于fpga的音乐播放器毕业设计设计怎么做

基于FPGA的MP3播放器设计
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
基于FPGA的MP3播放器设计
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口基于FPGA的乐曲硬件演奏系统设计与实现--《电子科技大学》2013年硕士论文
基于FPGA的乐曲硬件演奏系统设计与实现
【摘要】:伴随着半导体制造工艺的不断变更,NRE不断增加,数量要求少而品种要求多的现实情况下,FPGA拥有很强的灵活性,而且FPGA集成度的越来越高,但价格却降低,EDA设计工具更新换代,功能日益普及和流行,使用这种设计方案的应用越来越多。现在的数字逻辑设计者面临日益减少的上市时间的压力,不得不进行上万门的设计,同时设计者不允许以牺牲硅的效率达到保持结构的独特性。使用现今的EDA软件工具来应付这些问题,并不是一件容易的事情。FPGA预装了很多已构造好的参数化库单元LPM器件。通过引入支持LPM的EDA软件工具,设计者可以设计出结构独立而且硅片的使用效率非常高的产品。
分析乐曲演奏设计中音符、频率、节拍、显示与编码的相互关系,并在EDA开发工具QuartusⅡ平台上,采用VHDL语言层次化和模块化的设计方法,通过音符编码的设计思想,实现了基于FPGA片上系统(SoC)动态显示乐曲演奏器的设计,使乐曲演奏数字电路设计得到了更好的优化,同时减少了设计芯片的数量、缩小了体积、降低了功耗、提高了设计的灵活性、可靠性和可扩展性。
本设计是基于FPGA的设计与实现,在EDA开发平台QUARTUSⅡ6.0上,基于FPGA进行设计的音乐硬件演奏电路,利用数控分频技术,通过制作一个能够储存乐符数据的逻辑宏模块存储器(LPM-ROM)中,最终实现音乐硬件演奏。本文实现的是“梁祝”这首曲子,如果需要更换乐曲的话,只要重新制作LPM-ROM,将其中所存储的音乐数据进行更换,便可播放任意乐曲。
设计研究的主要内容包括:
(1)阐明了项目的基本原理及背景;
(2)介绍了项目设计所要用到相关软件、平台及设计方法;
(3)确定了项目的设计方案;
(4)单元模块的具体设计;
(5)总体程序的设计。
设计采用Altera公司的Cyclone系列中的EPIC12Q240C8芯片,
本系统设计根据顶层原理图,共分为存储模块、时钟分频模块、地址发生器模块、数控分频模块、分频预置数模块、乐曲播放模块这几个模块。
【关键词】:
【学位授予单位】:电子科技大学【学位级别】:硕士【学位授予年份】:2013【分类号】:TN791;TN912.2【目录】:
摘要5-6ABSTRACT6-11第一章 绪论11-15 1.1 研究背景11 1.2 国内外研究现状11-12 1.3 研究的现实意义和前景12-14 1.4 研究内容14 1.5 本章小结14-15第二章 理论综述15-21 2.1 EDA 和 VHDL 语言介绍15-16
2.1.1 HDL 语言15
2.1.2 VHDL 语言15-16 2.2 音符频率的获得16-18 2.3 乐曲节奏的控制18 2.4 音符与频率的关系18-19 2.5 FPGA 结构与工作原理19-20 2.6 本章小结20-21第三章 系统需求分析21-28 3.1 系统需求目标21 3.2 系统功能需求21-22
3.2.1 自动播放功能21
3.2.2 乐曲切换功能21-22
3.2.3 暂停播放功能22
3.2.4 音量调节功能22 3.3 系统硬件需求22-24
3.3.1 FPGA22-23
3.3.2 LED 数码管23-24 3.4 系统性能需求24 3.5 开发环境24-26
3.5.1 集成开发环境24-25
3.5.2 仿真环境25-26
3.5.3 下载环境及下载流程26 3.6 本章小结26-28第四章 系统设计28-38 4.1 系统工作原理28-29 4.2 系统设计流程29 4.3 系统顶层设计29-30 4.4 硬件电路设计30-34 4.5 模块设计34-37
4.5.1 分频预置数模块34
4.5.2 时钟分频模块34
4.5.3 数控分频模块34-35
4.5.4 地址发生器模块35
4.5.5 存储模块35-36
4.5.6 逻辑控制模块36-37 4.6 本章小结37-38第五章 系统实现38-66 5.1 软件部分实现过程38-53
5.1.1 分配引脚以及项目仿真38-44
5.1.2 顶层电路 VHDL 程序设计44-45
5.1.3 音符数据 ROM 文件的定制45-50
5.1.4 音乐节拍和音调发生器模块50-53 5.2 硬件部分实现过程53-63
5.2.1 分频预置数模块53-56
5.2.2 地址发生器模块56
5.2.3 时钟分频模块56-59
5.2.4 数控分频模块59-62
5.2.5 存储模块62-63 5.3 硬件下载63-65 5.4 本章小结65-66第六章 系统仿真与调试66-70 6.1 波形仿真66-67
6.1.1 音乐节拍和音调发生器模块的仿真66
6.1.2 分频预置数查表模块的仿真66-67
6.1.3 音乐符数控分频模块的仿真67 6.2 系统调试67-68
6.2.1 硬件调试67-68
6.2.2 软件调试68 6.3 功能及性能测试68-69 6.4 本章小结69-70第七章 总结70-72 7.1 总结70 7.2 展望70-72致谢72-73参考文献73-75
欢迎:、、)
支持CAJ、PDF文件格式
【参考文献】
中国期刊全文数据库
冼凯仪;[J];半导体技术;2003年04期
郭培源,乔美华;[J];电声技术;2004年10期
陈意军;;[J];电子与封装;2006年03期
田瑞利,陈海滨,易国华;[J];电子技术;2004年01期
潘松;[J];电子与自动化;2000年03期
苏莉萍;黎志远;;[J];装备制造技术;2007年02期
崔祎;;[J];工业仪表与自动化装置;2011年02期
曹康;童闻焕;;[J];成功(教育);2007年05期
丁健;张冰;李汉书;;[J];科协论坛(下半月);2009年03期
杨国庆;;[J];天津工业大学学报;2006年04期
【共引文献】
中国期刊全文数据库
高立新;;[J];安徽电子信息职业技术学院学报;2010年05期
巫新民;任艳频;秦俭;陈莉平;阎捷;任勇;;[J];半导体技术;2010年07期
高保峰;周勇;王姮;;[J];兵工自动化;2009年11期
高保峰;赵毅忠;范青;;[J];兵工自动化;2010年01期
冯庆玉;杜汪洋;;[J];中国传媒大学学报(自然科学版);2008年02期
韩芝侠;;[J];宝鸡文理学院学报(自然科学版);2010年02期
孙丽华,王磊劼,刘晔;[J];江西师范大学学报(自然科学版);2005年05期
李玉华;;[J];长春师范学院学报(自然科学版);2009年06期
任国凤;;[J];长春师范学院学报(自然科学版);2010年04期
任国凤;张雪英;;[J];长春师范学院学报(自然科学版);2010年08期
中国重要会议论文全文数据库
刘旺锋;王硕;;[A];第二十九届中国控制会议论文集[C];2010年
刘荣;何敏;闵锐;聂典;;[A];电子高等教育学会2008年学术年会论文集[C];2008年
刘荣;聂典;何敏;石会;;[A];电子高等教育学会2008年学术年会论文集[C];2008年
胡海洋;绪梅;;[A];第十四届全国核电子学与核探测技术学术年会论文集(下册)[C];2008年
胡海洋;绪梅;;[A];第十四届全国核电子学与核探测技术学术年会论文集(2)[C];2008年
王革思;刘勉;奕宗琪;;[A];全国高等学校电子技术研究会论文集[C];2009年
孙艳敏;贾晓静;朱卫东;周长林;;[A];教育部中南地区高等学校电子电气基础课教学研究会第二十届学术年会会议论文集(上册)[C];2010年
亓璐;张勇;杨波;韩涵;;[A];第25届中国控制会议论文集(下册)[C];2006年
郉燕;胡永辉;;[A];第十七届全国测控计量仪器仪表学术年会(MCMI'2007)论文集(上册)[C];2007年
李莉;;[A];第十七届全国测控计量仪器仪表学术年会(MCMI'2007)论文集(下册)[C];2007年
中国博士学位论文全文数据库
王玉辉;[D];山东科技大学;2011年
谢小东;[D];电子科技大学;2011年
张徐亮;[D];电子科技大学;2001年
徐宁;[D];电子科技大学;2003年
陈国金;[D];西安电子科技大学;2007年
马敏;[D];天津大学;2007年
张淑芳;[D];天津大学;2007年
王彩霞;[D];长春理工大学;2009年
王永翔;[D];北京交通大学;2009年
张健;[D];北京林业大学;2010年
中国硕士学位论文全文数据库
冷碧炎;[D];南昌航空大学;2010年
胡一枫;[D];浙江理工大学;2010年
李建华;[D];郑州大学;2010年
郭永强;[D];哈尔滨工程大学;2010年
刘丽苹;[D];哈尔滨工程大学;2010年
董海鹏;[D];哈尔滨工程大学;2010年
陶宝泉;[D];哈尔滨工程大学;2010年
刘向;[D];大连理工大学;2010年
陈勇全;[D];大连理工大学;2010年
高苗苗;[D];大连理工大学;2010年
【二级参考文献】
中国期刊全文数据库
郭培源,乔美华;[J];北京工商大学学报(自然科学版);2004年06期
程瑶;万文略;刘艳;宋学武;;[J];重庆工学院学报(自然科学版);2008年04期
陈华容;;[J];电声技术;2006年02期
陈意军;;[J];电子与封装;2006年03期
张亮;罗小巧;董继承;;[J];电子技术;2007年Z2期
刘炳尧;;[J];电子制作;2007年08期
谢秋玲;[J];电子世界;2003年10期
周灵彬;[J];华北工学院学报;2002年03期
冯江;王晓燕;谢旭红;卢宏;;[J];微计算机信息;2006年02期
袁慧梅;宋宇;;[J];微计算机信息;2006年11期
【相似文献】
中国期刊全文数据库
贾雅琼;;[J];可编程控制器与工厂自动化;2008年01期
吴振宇;常玉保;冯林;;[J];仪器仪表学报;2006年S1期
高凡;贾磊磊;;[J];科技信息;2010年19期
王建荣;李竹;汤洪明;;[J];太原科技大学学报;2007年03期
丁孝永;黄培康;杨于杰;;[J];系统工程与电子技术;2008年03期
封彦彪;刘兴春;;[J];电子测量技术;2010年10期
徐京晶;[J];微处理机;1996年03期
;[J];世界电子元器件;2000年11期
罗剑波,姜伟;[J];电子工程师;2001年09期
Xilinx Inc.Amit D[J];电子产品世界;2002年22期
中国重要会议论文全文数据库
侯金华;;[A];2011中国电工技术学会学术年会论文集[C];2011年
张健;孙辉先;陈晓敏;安军社;;[A];第二十三届全国空间探测学术交流会论文摘要集[C];2010年
;[A];Proceedings of the 2011 Chinese Control and Decision Conference(CCDC)[C];2011年
董雪;费建军;白娇杨;;[A];天津市电视技术研究会2012年年会论文集[C];2012年
肖松;李跃华;张金林;;[A];教育部中南地区高等学校电子电气基础课教学研究会第二十届学术年会会议论文集(下册)[C];2010年
王玮;钱伟康;应怀樵;;[A];现代振动与噪声技术(第九卷)[C];2011年
钱伟康;梁利娟;王丽丽;;[A];全国第三届信号和智能信息处理与应用学术交流会专刊[C];2009年
杨学友;叶振忠;刘常杰;李博文;;[A];第三次全国会员代表大会暨学术会议论文集[C];2002年
鲁艳;雷维嘉;谢显中;;[A];2010年通信理论与信号处理学术年会论文集[C];2010年
许超;肖铁;施柏鑫;;[A];全国第二届信号处理与应用学术会议专刊[C];2008年
中国重要报纸全文数据库
海英;[N];人民邮电;2009年
;[N];中国电子报;2011年
;[N];中国电子报;2011年
赵艳秋 冯晓伟
冯健;[N];中国电子报;2009年
冯晓伟;[N];中国电子报;2009年
;[N];中国电子报;2009年
;[N];中国电子报;2009年
;[N];中国电子报;2009年
梁红兵;[N];中国电子报;2010年
华北光电技术研究所
刘刚;[N];中国电子报;2010年
中国博士学位论文全文数据库
张承畅;[D];重庆大学;2011年
柴亚辉;[D];上海大学;2012年
陈迅;[D];国防科学技术大学;2011年
李鹏;[D];解放军信息工程大学;2011年
余慧;[D];复旦大学;2011年
谢丁;[D];复旦大学;2011年
王忠明;[D];清华大学;2011年
廖永波;[D];电子科技大学;2010年
唐玉兰;[D];江南大学;2010年
邬贵明;[D];国防科学技术大学;2011年
中国硕士学位论文全文数据库
周志娟;[D];哈尔滨工程大学;2011年
许冲;[D];哈尔滨工业大学;2011年
叶忠彬;[D];电子科技大学;2011年
彭涵阳;[D];天津大学;2010年
杜国女;[D];兰州交通大学;2011年
隆志远;[D];南京航空航天大学;2010年
袁臻;[D];上海交通大学;2009年
刘开健;[D];昆明理工大学;2008年
刘钰力;[D];兰州大学;2011年
王恕;[D];上海交通大学;2012年
&快捷付款方式
&订购知网充值卡
400-819-9993
《中国学术期刊(光盘版)》电子杂志社有限公司
同方知网数字出版技术股份有限公司
地址:北京清华大学 84-48信箱 知识超市公司
出版物经营许可证 新出发京批字第直0595号
订购热线:400-819-82499
服务热线:010--
在线咨询:
传真:010-
京公网安备74号music_vhdl 基于fpga和Quartus II的音乐播放器 程序 - -Verilog 238万源代码下载-
&文件名称: music_vhdl
& & & & &&]
&&所属分类:
&&开发工具: VHDL
&&文件大小: 5470 KB
&&上传时间:
&&下载次数: 0
&&提 供 者:
&详细说明:基于fpga和Quartus II的音乐播放器vhdl程序-Based on Quartus II fpga and vhdl music player program
文件列表(点击判断是否您需要的文件,如果是垃圾请在下面评价投诉):
&&毕业设计-基于FPGA的音乐播放器的设计与实现―歌名显示功能\\-韩晓亚\music_vhdl\ADDR_ALL.bsf&&.......................................................\..........\.................\..........\ADDR_ALL.vhd&&.......................................................\..........\.................\..........\ADDR_ALL.vhd.bak&&.......................................................\..........\.................\..........\ADDR_pro.bsf&&.......................................................\..........\.................\..........\ADDR_pro.vhd&&.......................................................\..........\.................\..........\automusic.vhd&&.......................................................\..........\.................\..........\automusic.vhd.bak&&.......................................................\..........\.................\..........\clk_50_12.bsf&&.......................................................\..........\.................\..........\clk_50_12.vhd&&.......................................................\..........\.................\..........\clk_50_12.vhd.bak&&.......................................................\..........\.................\..........\db\altsyncram_0hq1.tdf&&.......................................................\..........\.................\..........\..\altsyncram_a7p3.tdf&&.......................................................\..........\.................\..........\..\altsyncram_dg92.tdf&&.......................................................\..........\.................\..........\..\altsyncram_g7a1.tdf&&.......................................................\..........\.................\..........\..\altsyncram_gda1.tdf&&.......................................................\..........\.................\..........\..\altsyncram_gi92.tdf&&.......................................................\..........\.................\..........\..\altsyncram_j4a1.tdf&&.......................................................\..........\.................\..........\..\altsyncram_k7p3.tdf&&.......................................................\..........\.................\..........\..\altsyncram_mgq1.tdf&&.......................................................\..........\.................\..........\..\altsyncram_pi92.tdf&&.......................................................\..........\.................\..........\..\cntr_44j.tdf&&.......................................................\..........\.................\..........\..\cntr_8bi.tdf&&.......................................................\..........\.................\..........\..\cntr_bbi.tdf&&.......................................................\..........\.................\..........\..\cntr_gbi.tdf&&.......................................................\..........\.................\..........\..\cntr_uti.tdf&&.......................................................\..........\.................\..........\..\decode_aoi.tdf&&.......................................................\..........\.................\..........\..\decode_rqf.tdf&&.......................................................\..........\.................\..........\..\music_vhdl.asm.qmsg&&.......................................................\..........\.................\..........\..\music_vhdl.asm_labs.ddb&&.......................................................\..........\.................\..........\..\music_vhdl.autos_3e92b2e5d298f5cf757a393f7655ccd81.cmp.atm&&.......................................................\..........\.................\..........\..\music_vhdl.autos_3e92b2e5d298f5cf757a393f7655ccd81.cmp.logdb&&.......................................................\..........\.................\..........\..\music_vhdl.autos_3e92b2e5d298f5cf757a393f7655ccd81.map.atm&&.......................................................\..........\.................\..........\..\music_vhdl.autos_3e92b2e5d298f5cf757a393f7655ccd81.map.logdb&&.......................................................\..........\.................\..........\..\music_vhdl.cbx.xml&&.......................................................\..........\.................\..........\..\music_vhdl.cmp.bpm&&.......................................................\..........\.................\..........\..\music_vhdl.cmp.cdb&&.......................................................\..........\.................\..........\..\music_vhdl.cmp.ecobp&&.......................................................\..........\.................\..........\..\music_vhdl.cmp.hdb&&.......................................................\..........\.................\..........\..\music_vhdl.cmp.logdb&&.......................................................\..........\.................\..........\..\music_vhdl.cmp.rdb&&.......................................................\..........\.................\..........\..\music_vhdl.cmp.tdb&&.......................................................\..........\.................\..........\..\music_vhdl.cmp0.ddb&&.......................................................\..........\.................\..........\..\music_vhdl.cmp_bb.cdb&&.......................................................\..........\.................\..........\..\music_vhdl.cmp_bb.hdb&&.......................................................\..........\.................\..........\..\music_vhdl.cmp_bb.logdb&&.......................................................\..........\.................\..........\..\music_vhdl.cmp_bb.rcf&&.......................................................\..........\.................\..........\..\music_vhdl.dbp&&.......................................................\..........\.................\..........\..\music_vhdl.db_info&&.......................................................\..........\.................\..........\..\music_vhdl.eco.cdb&&.......................................................\..........\.................\..........\..\music_vhdl.fit.qmsg&&.......................................................\..........\.................\..........\..\music_vhdl.hier_info&&.......................................................\..........\.................\..........\..\music_vhdl.hif&&.......................................................\..........\.................\..........\..\music_vhdl.map.bpm&&.......................................................\..........\.................\..........\..\music_vhdl.map.cdb&&.......................................................\..........\.................\..........\..\music_vhdl.map.ecobp&&.......................................................\..........\.................\..........\..\music_vhdl.map.hdb&&.......................................................\..........\.................\..........\..\music_vhdl.map.logdb&&.......................................................\..........\.................\..........\..\music_vhdl.map.qmsg&&.......................................................\..........\.................\..........\..\music_vhdl.map_bb.cdb&&.......................................................\..........\.................\..........\..\music_vhdl.map_bb.hdb&&.......................................................\..........\.................\..........\..\music_vhdl.map_bb.logdb&&.......................................................\..........\.................\..........\..\music_vhdl.pre_map.cdb&&.......................................................\..........\.................\..........\..\music_vhdl.pre_map.hdb&&.......................................................\..........\.................\..........\..\music_vhdl.psp&&.......................................................\..........\.................\..........\..\music_vhdl.pss&&.......................................................\..........\.................\..........\..\music_vhdl.rtlv.hdb&&.......................................................\..........\.................\..........\..\music_vhdl.rtlv_sg.cdb&&.......................................................\..........\.................\..........\..\music_vhdl.rtlv_sg_swap.cdb&&.......................................................\..........\.................\..........\..\music_vhdl.sgdiff.cdb&&.......................................................\..........\.................\..........\..\music_vhdl.sgdiff.hdb&&.......................................................\..........\.................\..........\..\music_vhdl.signalprobe.cdb&&.......................................................\..........\.................\..........\..\music_vhdl.sldhu_30e344a040fd07ef2d67d1.cmp.atm&&.......................................................\..........\.................\..........\..\music_vhdl.sldhu_30e344a040fd07ef2d67d1.cmp.logdb&&.......................................................\..........\.................\..........\..\music_vhdl.sldhu_30e344a040fd07ef2d67d1.map.atm&&.......................................................\..........\.................\..........\..\music_vhdl.sldhu_30e344a040fd07ef2d67d1.map.logdb&&.......................................................\..........\.................\..........\..\music_vhdl.sld_design_entry.sci&&.......................................................\..........\.................\..........\..\music_vhdl.sld_design_entry_dsc.sci&&.......................................................\..........\.................\..........\..\music_vhdl.smp_dump.txt&&.......................................................\..........\.................\..........\..\music_vhdl.syn_hier_info&&.......................................................\..........\.................\..........\..\music_vhdl.tan.qmsg&&.......................................................\..........\.................\..........\..\music_vhdl.tis_db_list.ddb&&.......................................................\..........\.................\..........\..\mux_7oc.tdf&&.......................................................\..........\.................\..........\..\prev_cmp_music_vhdl.asm.qmsg&&.......................................................\..........\.................\..........\..\prev_cmp_music_vhdl.fit.qmsg&&.......................................................\..........\.................\..........\..\prev_cmp_music_vhdl.map.qmsg&&.......................................................\..........\.................\..........\..\prev_cmp_music_vhdl.qmsg&&.......................................................\..........\.................\..........\..\prev_cmp_music_vhdl.tan.qmsg&&.......................................................\..........\.................\..........\..\使用说明.txt&&.......................................................\..........\.................\..........\dianzij.bsf&&.......................................................\..........\.................\..........\dianzij.vhd&&.......................................................\..........\.................\..........\dianzij.vhd.bak&&.......................................................\..........\.................\..........\display_rom.bsf&&.......................................................\..........\.................\..........\display_rom.cmp&&.......................................................\..........\.................\..........\display_rom.vhd&&.......................................................\..........\.................\..........\display_rom_wave0.jpg&&.......................................................\..........\.................\..........\display_rom_waveforms.html&&.......................................................\..........\.................\..........\key_test.bsf&&.......................................................\..........\.................\..........\key_test.vhd&&.......................................................\..........\.................\..........\key_test.vhd.bak&&.......................................................\..........\.................\..........\LCD.bsf
&输入关键字,在本站238万海量源码库中尽情搜索:

我要回帖

更多关于 音乐播放器ui设计 的文章

 

随机推荐