入门野神坑,想看DGS的全部jetsameventt,可是又找不到资源,希望哪位大神能发资源啊,十分感谢

训练从幼儿开始 美国很多孩子在嬰儿时期就独居一室,孩子张到三四岁,有了害怕的心理,家长就买一种很小很暗的灯,彻夜亮着,以驱逐孩子对黑夜的恐惧.晚上睡觉前,父母到孩子房间给孩子一个吻,说句"孩子,我爱你!晚安!做个好梦!"就回自己的卧室睡觉,孩子抱着布娃娃,狗熊之类的玩具安然入梦.二 决不总是围着孩子转 爱孩孓但决不盯着孩子,抱着孩子.六七个月的孩子自己抱着瓶子喝水,喝奶,大一点就用学用刀吃饭,孩子常常把食物撒在桌子上,地上,但父母决不喂饭,讓孩子自己吃.孩子做游戏也是自己做或跟着小朋友一起做,很少缠着父母.父母外出旅游会把很小的孩子寄放在别人家,办晚会或者去参加别人镓的

很多偏理论的书籍最好是英文版的中国的书都是抄来抄去的,要看就看国外的经典书Electromagnetic Wave Theory 是电磁学的理论经典教材,绝对值得看本書详细介绍了基于麦克斯韦方程组的电磁波的完整理论,主要内容包括电磁波理论中的基本定律与方程传输线理论,电磁波的反向、透射、折射、绕射和散射波导和谐振腔,辐射和天线理论基础以及在狭义相对论指导下的、从洛伦兹协变的角度理解的麦克斯韦电磁波悝论。

本书为unix网络编程提供全面的指导是网络研究和开发人员公认的权威参考书,无论网络编程的初学者还是网络专家都会大受裨益 莋译者   获得 。学习网络编程的最好方法就是下载这些程序对其进行修改和改进。只有这样实际编写代码才能深入理解有关概念和方法每章末尾提供了大量的习题,大部分在附录E中给出答案   本书的最新勘误表也可以在上述网站获取。   致谢   本书第1版和第2蝂由    序言   本书的第1版本于1990年问世并迅速成为程序员学习网络编程的权威参考书。时至今日计算机网络技术已发生了翻天覆地嘚变化。只要看看第1版给出的用于征集反馈意见的地址(“uunet!hsi!netbook”)就一目了然了(有多少读者能看出这是20世纪80年代很流行的UUCP拨号网络的地址?)   现在UUCP网络已经很罕见了而无线网络等新技术则变得无处不在!在这种背景下,新的网络协议和编程范型业已开发出来但程序员却苦于找不到一本好的参考书来学习这些复杂的新技术。   这本书填补了这一空白拥有本书旧版的读者一定想要一个新的版本来學习新的编程方法,了解IPv6等下一代协议方面的新内容所有人都非常期待本书,因为它完美地结合了实践经验、历史视角以及在本领域浸淫多年才能获得的透彻理解   阅读本书是一种享受,我收获颇丰相信大家定会有同感。   Sam Leffler 媒体评论   “所有人都非常期待这本書因为它完美地结合了实践经验、历史视角以及在本领域浸淫多年才能获得的透彻理解。阅读本书是一种享受我收获颇丰。相信大家萣会有同感”   ——Sam Leffler,FreeBSD基金会副主席   “这部著作在计算机科学领域里的传奇得以延续Bill Fenner和Andrew Rudoff居功至伟。”   ——Art Sedighi   “这套书是學习网络编程最好的书全世界最最好的,远超群伦”   ——/fksec/article/details/7888251 该资料是《UNIX网络编程 卷1 套接字联网API(第3版)(中文版)》的随书源代码 UNIX网络编程.卷1,套接字联网API(第3版)(中文版)(Stjetsamevents经典著作,两位顶级网络编程专家应邀执笔修订) 基本信息 本书为unix网络编程提供全面的指导是网络研究和开发人員公认的权威参考书,无论网络编程的初学者还是网络专家都会大受裨益 作译者   获得 。学习网络编程的最好方法就是下载这些程序对其进行修改和改进。只有这样实际编写代码才能深入理解有关概念和方法每章末尾提供了大量的习题,大部分在附录E中给出答案   本书的最新勘误表也可以在上述网站获取。   致谢   本书第1版和第2版由          序言   本书的第1版本于1990年问世并迅速成為程序员学习网络编程的权威参考书。时至今日计算机网络技术已发生了翻天覆地的变化。只要看看第1版给出的用于征集反馈意见的地址(“uunet!hsi!netbook”)就一目了然了(有多少读者能看出这是20世纪80年代很流行的UUCP拨号网络的地址?)   现在UUCP网络已经很罕见了而无线网络等新技术则变得无处不在!在这种背景下,新的网络协议和编程范型业已开发出来但程序员却苦于找不到一本好的参考书来学习这些复杂的噺技术。   这本书填补了这一空白拥有本书旧版的读者一定想要一个新的版本来学习新的编程方法,了解IPv6等下一代协议方面的新内容所有人都非常期待本书,因为它完美地结合了实践经验、历史视角以及在本领域浸淫多年才能获得的透彻理解   阅读本书是一种享受,我收获颇丰相信大家定会有同感。   Sam Leffler 媒体评论   “所有人都非常期待这本书因为它完美地结合了实践经验、历史视角以及在夲领域浸淫多年才能获得的透彻理解。阅读本书是一种享受我收获颇丰。相信大家定会有同感”   ——Sam Leffler,FreeBSD基金会副主席   “这部著作在计算机科学领域里的传奇得以延续Bill Fenner和Andrew Rudoff居功至伟。”   ——Art Sedighi 个我常去的讨论组这个讨论组是有高手专门维护的,而且回应贴子嘚速度很快: .cn/club/ 五.Linux操作系统上有什么应用 Linux 上有丰富的的应用软件,在这里我给大家做一个简单的介绍具体它们是什么样 子我会在讲座仩为大家一一演示。 文本处理方面(控制台界面X Window界面在后面介绍) vi 最令 UNIX类操作系统初学者裹足不前的 editor,然而只要你习惯于操作,你会觉得 它比任何的 editor都好用且功能强大。 pico 一种风格很像 Microsoft DOS的 EDIT 的一种文本编辑器 中文化方面 XCIN+Crxvt 缺省的 Linux 系统是不支持显示中文的,虽然现在国内涌现出许多著名的 Linux 汉化厂商但是一些著名的 Linux distribution 如 Red Hat、Debian、Caldera 仍然不支 持中文的输入和输出。这套汉化工具组合在控制台(console)方式和 X Window方式下都可 Netscape公司的 , ,等等這些都是免费的。但这是从使用者角度来看如果你是想为一个局 域网内部提供一个交换电子邮件的服务,那它们对你来说可就是一笔巨款了再说人家卖 不卖给你还不好说呢。Linux 下面最著名的 mail server是 Sendmail它是一个负责监控和传 送电子邮件的邮件代理程序,你使用它就可以在在一个尛的局域网(例如宿舍网)里提供 一个电子邮件服务这是多么神奇的事情啊!使用 Linux 下面的 MailServer最大的好处是性 价比高,这些软件的功能强大却又是完全免费的,我们完全不用去花几万元买一个功能 有限还要按照人头收费的 Exchange Server或者是使用 D版软件被别人扎脊梁骨。 .cn/club/default.htm它就是用 Apache+PHP+MySQL 这種组合建立起来的,有兴趣的朋友可以去看看 25 二.几种重要的配置文件 Linux 下面的配置文件非常的多,对于初学者来说我们只需要知道最最瑺用的几个配 置文件就可以了。由于片符合时间的原因在这里我只介绍四个非常经典的配置文件 (lilo.conf, passwd,inittab,fasttab),这四个文件中我重点介绍 lilo.conf其余三个攵件会简单的 在课堂上演示。至于 Linux 其它众多的配置文件必须各位同学自己下去查找相关资料自己学 习了 1. lilo.conf 这是 Linuxloader(lilo)的配置文件。对于初学者来說这个配置文件是非常重要的,因 为它直接关系到你的系统是否能够正确的引导到 Linux 上来 我在这里主要将一下如何使用 lilo 实现多个在一台機器上安装多个操作系统。 一般来说第一次安装 Linux 后,缺省引导的系统是 Linux但是对大多数 Linux 用户 来说,他们使用 windows 的时间会多于 Linux所以我们最恏能够将缺省引导的系统改为 windows,这样的话就省去了每次启动时敲一串字符的麻烦。要修改引导顺序就要修改 Linux 行:timeout=100,这是一个设置在 lilo 引导缺渻的系统之前等候用户输入系 统别名的等候时间长度.它的时长好象不是按秒一级的数量级进行计算的,总之用户可以按 照自己的实际需要设置相应的时长.我一般是设置成 timeout=500. 然后我们看第 7 行:default=xlinux,这里是关键之一!这一行指明缺省引导的系统的别名 26是 xlinux,要想改变系统的缺省引导顺序,这里是需偠修改的,稍后就会说到是怎么修改的. 再看第8行到第 11 行,这四行指明了引导 Linux 系统的一些细节. image=/boot/vmlinuz-2.2.14-5.0指明了操作系统核心是放在哪里的,以便于引导程序裝载操作 系统核心;label=xlinux指明了引导这个操作系统时用的别名,这里是xlinux; root=/dev/hda4指 明了引导程序需要到哪一个硬盘的第几个分区去寻找 init 过程,在这里是要到第┅个硬盘的 第四个分区中去将引导系统的控制权交给这个分区里的 init过程. 最后我们看看文件中的最后两行.other=/dev/hda1说明除了linux操作系统以外,机器中还 安裝了别的操作系统(当然我知道这个另外的操作系统是我的 win2000了),它位于第一块硬 盘中的第一个分区,一般来说,这个路径是在安装的时候就设置好嘚,不需要我们手工修改,但 是我认为知道这些信息还是很有必要的;label=win指明了这个系统引导时使用的别名为win. 因为 lilo 是顺序引用的,所以如果我们想要讓缺省引导的系统变成 windows,就需要将这两行 移动到 image=.....那一行之前的位置,我建议的位置是放在 image=...之前和default=.....之后. 这是关键之二! 当你将前面的两个关键步骤唍成之后,还需要将default=....那一行改成 default=win,这是 比较重要的一步,虽然即使你不改动这里,系统也可以按照你的想法去引导,但是我可不敢保 证你这样做不会絀一点问题.再说,如果你不改动这里那多别扭啊! 做到这里,我们对lilo.conf这个文件的改动就结束了,然后只要退出vi,然后键入 lilo -v -v -v这个命令来更新一下LILO,我们就夶功告成了.当你reboot以后,就会发现在"lilo:"那里敲入 回车键后,系统就会缺省的引导到 windows 系统去了. 2. Passwd 这个配置文件是关于用户口令的。如果你是一名系统管悝员有可能会一次新增很多 的用户,这时用 adduser就相当麻烦了一般有功力的管理员会直接去修改/etc/passwd 文件。 利用 passwd文件可以控制用户的口令状態及一些个人配置。 对于这个文件普通单机用户使用的并不是很多,主要是多用户的管理员使用对于 它的一些基本配置方法,我会在課堂上为同学们演示这里不再赘述。 3. Inittab和 fasttab 这两个文件都是存放在/etc 这个目录下面的Inittab 文件用来配置 Linux 初始化的一些 动作,我们最常用的是设定 Linux 嘚启动方式Fasttab 文件用来设定一些在 Linux 启动时的 动作,主要是文件系统或者是外设的挂接动作如果你想让你的 Linux 一开始就能够使用光 盘驱动器,就可以把 mount 指令加到这个文件中去 因为这两个文件对我们初学者来说,可以利用的地方不是很多所以我不用过多的文 字来描述它们的功能,具体的操作我会在课堂上为同学们演示这里不再赘述。 27 三.Linux下常用的工具软件 这里我会为大家介绍 Linux 世界里最常用的几种工具软件包括:vi, tar, gzip, rpm。 1. 文字编辑 vi mode i : 插入, 从目前游标所在之处插入所输入之文字 a : 增加, 目前游标所在之下一个字开始输入文字。 o : 从新的一行行首开始输入文芓 2. 移动游标

第1 页共27 页 1 概述 频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测 量其怹信号的频率通常情况下计算每秒内待测信号的脉冲个数,此时我们称 闸门时间为1 秒闸门时间也可以大于或小于一秒。闸门时间越长得到的频 率值就越准确,但闸门时间越长则没测一次频率的间隔就越长闸门时间越 短,测的频率值刷新就越快但测得的频率精度就受影响本文。数字频率计是 用数字显示被测信号频率的仪器被测信号可以是正弦波,方波或其它周期性 变化的信号因此,数字频率计昰一种应用很广泛的仪器 电子系统非常广泛的应用领域内到处可见到处理离散信息的数字电路。 数字电路制造工业的进步使得系统设計人员能在更小的空间内实现更多的功 能,从而提高系统可靠性和速度 集成电路的类型很多,从大的方面可以分为模拟电路和数字集成電路2 大 类数字集成电路广泛用于计算机、控制与测量系统,以及其它电子设备中 一般说来,数字系统中运行的电信号其大小往往并鈈改变,但在实践分布上 却有着严格的要求这是数字电路的一个特点。 2 系统的总体设计: 2.1 原理设计 本频率计的设计以AT89S52 单片机为核心利鼡它内部的定时/计数器完成 待测信号周期/频率的测量。单片机AT89S52 内部具有2 个16 位定时/计数器, 定时/计数器的工作可以由编程来实现定时、计数和產生计数溢出中断要求的功 能在构成为定时器时,每个机器周期加1 (使用12MHz 时钟时,每1us 加1),这 样以机器周期为基准可以用来测量时间间隔在构荿为计数器时,在相应的外部 引脚发生从1 到0 的跳变时计数器加1,这样在计数闸门的控制下可以用来测 量待测信号的频率外部输入每个机器周期被采样一次,这样检测一次从1 到0 的跳变至少需要2 个机器周期(24 个振荡周期) ,所以最大计数速率为时钟频率 的1/24 (使用12MHz 时钟时,最大计数速率为500 KHz) 萣时/计数器的工作由 相应的运行控制位TR 控制,当TR 置1 ,定时/计数器开始计数;当TR 清0 ,停止计 数。设计综合考虑了频率测量精度和测量反应时间的要求例如当要求频率测 量结果为4 位有效数字,这时如果待测信号的频率为1Hz ,则计数闸门宽度必须 大于1000s为了兼顾频率测量精度和测量反应时间嘚要求,把测量工作分为两 种方法。当待测信号的频率大于等于2Hz 时,定时/ 计数器构成为计数器以机 器周期为基准,由软件产生计数闸门,这时要滿足频率测量结果为4 位有效数字, 则计数闸门宽度大于1s 即可。当待测信号的频率小于2Hz 时定时/ 计数器构 成为定时器,由频率计的予处理电路把待测信号变成方波,方波宽度等于待测信号 的周期。用方波作计数闸门完全满足测量精度的要求。 频率计的量程自动切换在使用计数方法實现频率测量时这时外部的待测信 号为定时/ 计数器的计数源,利用定时器实现计数闸门频率计的工作过程为: 首先定时/计数器T0 的计数寄存器设置一定的值,运行控制位TR0 置1,启动定 时/ 计数器0;利用定时器0 来控制1S 的定时同时定时/计数器T1 对外部的待 第2 页共27 页 测信号进行计数,定時结束时TR1 清0 ,停止计数;最后从计数寄存器读出测量数 据,在完成数据处理后由显示电路显示测量结果。在使用定时方法实现频率测 量时,這时外部的待测信号通过频率计的予处理电路变成宽度等于待测信号周期 的方波该方波同样加至定时/ 计数器1 的输入脚。这时频率计的工莋过程为: 首先定时/ 计数器1 的计数寄存器清0 ,然后检测到方波的第二个下降沿是否加 至定时/ 计数器的输入脚;当判定下降沿加至定时/计数器的輸入脚运行控制位 TR0 置1 ,启动定时/计数器T0 对单片机的机器周期的计数,同时检测方波的第 三个下降沿;当判定检测到第三个下降沿时TR0 清0 停圵计数,然后从计数 寄存器T0 读出测量数据在完成数据处理后,由显示电路显示测量结果测量 结果的显示格式采用科学计数法,即有效数芓乘以10 为底的幂。这里设计的频 率计用4 位数码管显示测量结果 定时方法实现频率测量。定时方法测量的是待测信号的周期这种方法只設 一种量程,测量结果通过浮点数运算模块将信号周期转换成对应的频率值,再将 结果送去显示这样无论采用何种方式,只要完成一次测量即可,频率计自动开 始下一个测量循环,因此该频率计具有连续测量的功能,同时实现量程的自动转 换 数字频率计的硬件框图如图2.1 所示。 由此可以看出该频率计主要由八部分组成分别是: (1)待测信号的放大整形电路 因为数字频率计的测量范围为峰值电压在一定电压范围内的频率发生频率 发生周期性变化的信号,因待测信号的不规则不能直接送入FPGA 芯片中处 理,所以应该首先对待测信号进行放大、降压、与整形等一系列处理 (2)分频电路 将处理过的信号4 分频,这样可以将频率计的测量范围扩大4 倍 (3)逻辑控制 控制是利用计数还是即时检测待测信号的頻率。 (4)脉冲计数/定时 根据逻辑控制对待测信号计数或定时将计数或定时得到的数据直接输入 数据处理部分。 第3 页共27 页 (5)数据处理 根据脉冲計数部分送过来的数据产生一个控制信号送入脉冲定时部分, 如果用计数就可以得到比较精确的频率就将这个频率值直接送入显示译碼部 分。 (6)显示译码 将测量值转换成七段译码数据送入显示电路。 (7)显示电路 通过4 个LED 数码管将测得的频率值显示给用户 (8)系统软件 包括测量初始化模块、显示模块、信号频率测量模块、量程自动转换模 块、信号周期测量模块、定时器中断服务模块、浮点数格式化模块、浮点数算 术运算模块、浮点数到BCD 码转换模块。 由于数据处理、脉冲计数/定时、逻辑控制和显示译码都是在单片机里完成 的所以我们可以把系统汾为以下几个模块:数据处理电路、显示电路、待测信 号产生电路、待测信号整形放大电路,电源电路 2.2 主要开发工具和平台 2.2.1 原理图和印刷电路板图设计开发工具:PROTEL DXP Protel DXP 是第一套完整的板卡级设计系统,真正实现在单个应用程序中的 集成设计从一开始的目的就是为了支持整个設计过程,Protel DXP 让你可以 选择最适当的设计途径来按你想要的方式工作Protel DXP PCB 线路图设计系 图2.1 数字频率计的硬件框图 显示译码 待测信号的放大整形電路 数据处理逻辑控制 脉冲计数/定时 显示电路 待测波输入 分频电路 第4 页共27 页 统完全利用了Windows XP 和Windows 2000 平台的优势,具有改进的稳定性、 增强的图形功能和超强的用户界面 Protel DXP 是一个单个的应用程序,能够提供从概念到完成板卡设计项目的 所有功能要求其集成程度在PCB 设计行业中前所未見。Protel DXP 采用一种 新的方法来进行板卡设计使你能够享受极大的自由,从而能够使你在设计的 不同阶段随意转换按你正常的设计流量进行笁作。 Protel DXP 拥有:分级线路图设计、Spice 3f5 混合电路模拟、完全支持线路 图基础上的FPGA 设计、设计前和设计后的信号线传输效应分析、规则驱动的 板卡設计和编辑、自动布线和完整CAM 输出能力等 在嵌入式设计部分,增强了JTAG 器件的实时显示功能增强型基于FPGA 的逻辑分析仪,可以支持32 位或64 位嘚信号输入除了现有的多种处理器内核 外,还增强了对更多的32 位微处理器的支持可以使嵌入式软件设计在软处理 器, FPGA 内部嵌入的硬处悝器 分立处理器之间无缝的迁移。使用了 Wishbone 开放总线连接器允许在FPGA 上实现的逻辑模块可以透明的连接到各 种处理器上引入了以FPGA 为目标的虛拟仪器,当其与LiveDesign-enabled 硬 件平台NanoBoard 结合时用户可以快速、交互地实现和调试基于FPGA 的设 计,可以更换各种FPGA 子板,支持更多的FPGA 器件 2.2.2 单片机程序设计開发工具:KEIL C51 keil c51 是美国Keil Software 公司出品的51 系列兼容单片机C 语言软件开发 系统,和汇编相比C 在功能上、结构性、可读性、可维护性上有明显的优 势,洇而易学易用 Keil c51 软件提供丰富的库函数和功能强大的集成开发调试工具,全 Windows 界面另外重要的一点,只要看一下编译后生成的汇编代码僦能体 会到keil c51 生成的目标代码效率非常之高,多数语句生成的汇编代码很紧凑 容易理解。在开发大型软件时更能体现高级语言的优势 Keil C51 可鉯完成编辑、编译、连接、调试、仿真等整个开发流程。开发人 员可用IDE 本身或其它编辑器编辑C 或汇编源文件然后分别有C51 及A51 编 辑器编译连接生成单片机可执行的二进制文件(.HEX),然后通过单片机的烧 写软件将HEX 比较类似只不过它可以仿真MCU!唯一的缺点,软件仿真精度有 限洏且不可能所有的器件都找得到相应的仿真模型。 使用keil c51 v7.50 + proteus 6.7 可以像使用仿真器一样调试程序可以完全 仿真单步调试,进入中断等各种调试方案 Proteus 与其它单片机仿真软件不同的是,它不仅能仿真单片机CPU 的工 作情况也能仿真单片机外围电路或没有单片机参与的其它电路的工作情況。 因此在仿真和程序调试时关心的不再是某些语句执行时单片机寄存器和存储 器内容的改变,而是从工程的角度直接看程序运行和电蕗工作的过程和结果 对于这样的仿真实验,从某种意义上讲是弥补了实验和工程应用间脱节的矛 第5 页共27 页 盾和现象。 3 系统详细设计: 3.1 硬件设计 3.1.1 数据处理电路 ( 1 ) 中央处理模块的功能: 直接采集待测信号将分两种情况计算待测信号的频率: 如果频率比较高,在一秒内对待测信号就行计数 如果频率比较低,在待测信号的一个周期内对单片机的工作频率进行计数 将得到的频率值通过显示译码后直接送入显示電路,显示给用户 ( 2 ) 电路需要解决的问题 单片机最小系统板电路的组建单片机程序下载接口和外围电路的接口。 单片机最小系统板的组建: ①单片机的起振电路作用与选择: 单片机的起振电路是有晶振和两个小电容组成的 晶振的作用:它结合单片机内部的电路,产生单片機所必须的时钟频率单 片机的一切指令的执行都是建立在这个基础上的,晶振的提供的时钟频率越 高那单片机的运行速度也就越快。MCS-51 ┅般晶振的选择范围为1~ 24MHz但是单片机对时间的要求比较高,能够精确的定时一秒所以也是为了 方便计算我们选择12MHz 的晶振。 晶振两边的電容:晶振的标称值在测试时有一个“负载电容”的条件在工 作时满足这个条件,振荡频率才与标称值一致一般来讲,有低负载电容(串 联谐振晶体)高负载电容(并联谐振晶体)之分。在电路上的特征为:晶振 串一只电容跨接在IC 两只脚上的则为串联谐振型;一只腳接IC,一只脚接地 的则为并联型。如确实没有原型号需要代用的可采取串联谐振型电路上的 电容再并一个电容,并联谐振电路上串一呮电容的措施单片机晶振旁的2 个 电容是晶体的匹配电容,只有在外部所接电容为匹配电容的情况下振荡频率 才能保证在标称频率附近嘚误差范围内。 最好按照所提供的数据来如果没有,一般是30pF 左右太小了不容易起 振。这里我们选择30pF 的瓷片电容我们选择并联型电路洳图3.1 所示。 ②单片机的复位电路: 2 1 Y1 12Mz C2 30pF C1 30pF XTAL1 XTAL2 图3.1 第6 页共27 页 影响单片机系统运行稳定性的因素可大体分为外因和内因两部分: 外因:即射频干扰它是以涳间电磁场的形式传递在机器内部的导体(引线 或零件引脚)感生出相应的干扰,可通过电磁屏蔽和合理的布线/器件布局衰减 该类干扰;電源线或电源内部产生的干扰它是通过电源线或电源内的部件耦 合或直接传导,可通过电源滤波、隔离等措施来衰减该类干扰 内因:振荡源的稳定性,主要由起振时间频率稳定度和占空比稳定度决定 起振时间可由电路参数整定稳定度受振荡器类型温度和电压等参数影响複位电 路的可靠性 复位电路的基本功能是:系统上电时提供复位信号,直至系统电源稳定 后撤销复位信号。为可靠起见电源稳定后還要经一定的延时才撤销复位信 号,以防电源开关或电源插头分-合过程中引起的抖动而影响复位 为了方便我们选择RC 复位电路可以实现上述基本功能如图3.2 所示。 但是该电路解决不了电源毛刺(A 点)和电源缓慢下降(电池电压不足)等 问题而且调整RC 常数改变延时会令驱动能力變差增加Ch 可避免高频谐波 对电路的干扰。 复位电路增加了二极管在电源电压瞬间下降时使电容迅速放电,一定宽 度的电源毛刺也可令系统可靠复位 在选择元器件大小时,正脉冲有效宽度? 2 个机器周期就可以有效的复位 一般选择C3 为0.1uF 的独石电容,R1 为1K 的电阻正脉冲有效寬度为: ln10*R1*C3=230>2,即可以该电路可以产生有效复位 ( 3 ) 程序下载线接口: AT89S52 自带有isp 功能,ISP 的全名为In System Programming即在线编 程通俗的讲就是编MCU 从系统目标系统中移絀在结合系统中一系列内部的硬 件资源可实的远程编程。 ISP 功能的优点: ①在系统中编程不需要移出微控制器 ②不需并行编程器仅需用P15,P16 囷P17这三个IO 仅仅是下载程序的时 候使用,并不影响程序的使用 ③结合上位机软件免费就可实现PC 对其编程硬件电路连接简单如图3.3 所 示。 104 C3 1K R1 S1 VCC D1 1N4007 RESET Ch 0.1uF 图3.2 複位电路 第7 页共27 页 系统复位时单片机检查状态字节中的内容。如果状态字为0则转去0000H 地址开始执行程序这是用户程序的正常起始地址。洳果状态字不0 则将引导 向量的值作为程序计数器的高8 位,低8 位固定为00H若引导向量为FCH, 则程序计数器内容为FC00H 即程序转到FC00H 地址开始执行而ISP 垺务程序 就是从FC00H 处开始的那么也就是进入了ISP 状态了,接下来就可以用PC 机 的ISP 软件对单片机进行编程了 ( 4 ) 去耦电容 好的高频去耦电容可以去除高到1GHZ 的高频成份。陶瓷片电容或多层陶瓷 电容的高频特性较好 设计印刷线路板时,每个集成电路的电源地之间都要加一个去耦电容。 去耦电容有两个作用:一方面是本集成电路的蓄能电容提供和吸收该集成电 路开门关门瞬间的充放电能;另一方面旁路掉该器件的高頻噪声。数字电路中 典型的去耦电容为0.1uf 的去耦电容有5nH 分布电感它的并行共振频率大约在 7MHz 左右,也就是说对于10MHz 以下的噪声有较好的去耦作鼡对40MHz 以 上的噪声几乎不起作用。 1uf10uf 电容,并行共振频率在20MHz 以上去除高频率噪声的效果要好 一些。在电源进入印刷板的地方和一个1uf 或10uf 的詓高频电容往往是有利 的即使是用电池供电的系统也需要这种电容。 每10 片左右的集成电路要加一片充放电电容或称为蓄放电容,电容夶小 可选10uf最好不用电解电容,电解电容是两层溥膜卷起来的这种卷起来的 结构在高频时表现为电感,最好使用胆电容或聚碳酸酝电容 去耦电容值的选取并不严格,可按C=1/f 计算;即10MHz 取0.1uf对微控 制器构成的系统,取0.1~0.01uf 之间都可以 从电路来说,总是存在驱动的源和被驱动的负載如果负载电容比较大, 驱动电路要把电容充电、放电才能完成信号的跳变,在上升沿比较陡峭的时 候电流比较大,这样驱动的电鋶就会吸收很大的电源电流由于电路中的电 感,电阻(特别是芯片管脚上的电感会产生反弹),这种电流相对于正常情 况来说实际上僦是一种噪声会影响前级的正常工作。这就是耦合 去藕电容就是起到一个电池的作用,满足驱动电路电流的变化避免相互 间的耦合幹扰。 旁路电容实际也是去藕合的只是旁路电容一般是指高频旁路,也就是给 高频的开关噪声提高一条低阻抗泄防途径高频旁路电容┅般比较小,根据谐 振频率一般是0.1u0.01u 等,而去耦合电容一般比较大是10u 或者更大,依 据电路中分布参数以及驱动电流的变化大小来确定。 去耦和旁路都可以看作滤波正如ppxp 所说,去耦电容相当于电池避免 1 2 3 4 5 6 7 8 9 10 P6 P17 P16 RESET P15 GND GND VCC 图3.3 程序下载线接口 第8 页共27 页 由于电流的突变而使电压下降,相当于濾纹波具体容值可以根据电流的大 小、期望的纹波大小、作用时间的大小来计算。去耦电容一般都很大对更高 频率的噪声,基本无效旁路电容就是针对高频来的,也就是利用了电容的频 率阻抗特性电容一般都可以看成一个RLC 串联模型。在某个频率会发生谐 振,此时電容的阻抗就等于其ESR如果看电容的频率阻抗曲线图,就会发现 一般都是一个V 形的曲线具体曲线与电容的介质有关,所以选择旁路电容還 要考虑电容的介质一个比较保险的方法就是多并几个电容。去耦电容在集成 电路电源和地之间的有两个作用:一方面是本集成电路的蓄能电容另一方面 旁路掉该器件的高频噪声。数字电路中典型的去耦电容值是0.1μF这个电容的 分布电感的典型值是5μH。0.1μF 的去耦电容有5μH 的分布电感它的并行共振 频率大约在7MHz 左右,也就是说对于10MHz 以下的噪声有较好的去耦效 果,对40MHz 以上的噪声几乎不起作用1μF、10μF 的电嫆,并行共振频率在 20MHz 以上去除高频噪声的效果要好一些。每10 片左右集成电路要加一片充 放电电容或1 个蓄能电容,可选10μF 左右最好不鼡电解电容,电解电容是 两层薄膜卷起来的这种卷起来的结构在高频时表现为电感。要使用钽电容或 聚碳酸酯电容去耦电容的选用并鈈严格,可按C=1/F即10MHz 取0.1μF, 100MHz 取0.01μF电路图如图3.4 所示。 ⑸单片机与外界的接口 显示电路的段选使用P0 口P0 口是属于TTL 电路,不能靠输出控制P0 口 的高低电平需要上拉电阻才能实现。 由于单片机不能直接驱动4 个数码管的显示需要数码管的驱动电路,驱动 电路采用NPN 型的三极管组成即仩拉电阻又有第二个作用,驱动晶体管晶 体管又分为PNP 和NPN 管两种情况:对于NPN,毫无疑问NPN 管是高电平有 效的因此上拉电阻的阻值用2K——20K 之間的,具体的大小还要看晶体管的 集电极接的是什么负载对于数码管负载,由于发管电流很小因此上拉电阻 的阻值可以用20k 的,但是对於管子的集电极为继电器负载时由于集电极电 流大,因此上拉电阻的阻值最好不要大于4.7K有时候甚至用2K 的。对于PNP 管毫无疑问PNP 管是低电岼有效的,因此上拉电阻的阻值用100K 以上的就行 了且管子的基极必须串接一个1~10K 的电阻,阻值的大小要看管子集电极的 负载是什么对于數码管负载,由于发光电流很小因此基极串接的电阻的阻 值可以用20k 的,但是对于管子的集电极为继电器负载时由于集电极电流 大,因此基极电阻的阻值最好不要大于4.7K与外界的信号交换接口,电路图 如图3.5 104 CK11 104 CK12 104 CK13 104 CK14 VCC 图3.4 去耦电容 第9 页共27 页 数码管的段选通过P00~P07 口来控制的。 数码管的位选通过P20~P23 口来控制的 计算待测信号的频率通过计数器1 来完成的所有待测信号解答计数器的T1 口上,即P3.5 ⑹单片机的选型: AT89SC52 和AT89SS52 最主要的区別在于下载电压,AT89SC52 单片机下载 电压时最小为12V而AT89S52 仅在5V 电压下就可以下载程序了,而且AT89S52 AT89S52 图3.5 单片机与外界接口 第10 页共27 页 三级加密程序存储器 32 個可编程I/O 口线。 三个16 位定时器/计数器 八个中断源。 全双工UART 串行通道 低功耗空闲和掉电模式。 掉电后中断可唤醒 看门狗定时器。 双数據指针 掉电标识符。 ②功能特性描述: AT89S52 是一种低功耗、高性能CMOS8 位微控制器具有8K 在系统可编 程Flash 存储器。使用Atmel 公司高密度非易失性存储器技术制造与工业 80C51 产品指令和引脚完全兼容。片上Flash 允许程序存储器在系统可编程亦 适于常规编程器。在单芯片上拥有灵巧的8 位CPU 和在系統可编程Flash, 使得AT89S52 为众多嵌入式控制应用系统提供高灵活、超有效的解决方案 AT89S52 具有以下标准功能: 8k 字节Flash,256 字节RAM 32 位I/O 口 线,看门狗定时器2 個数据指针,三个16 位定时器/计数器一个6 向量2 级中断结构,全双工串行口片内晶振及时钟电路。另外AT89S52 可降至 0Hz 静态逻辑操作,支持2 种软件可选择节电模式空闲模式下,CPU 停止工 作允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下 RAM 内容被保存,振荡器被冻结单片机一切工作停止,直到下一个中断或硬 件复位为止R8 位微控制器8K 字节在系统可编程Flash P0 口:P0 口是一个8 位漏极开路的双向I/O 口作为输出口,烸位能驱动8 个 TTL 逻辑电平对P0 端口写“1”时,引脚用作高阻抗输入当访问外部程序和 数据存储器时,P0 口也被作为低8 位地址/数据复用在这種模式下,P0 具有内 部上拉电阻在flash 编程时,P0 口也用来接收指令字节;在程序校验时输出 指令字节。程序校验时需要外部上拉电阻。 P1 口:P1 口是一个具有内部上拉电阻的8 位双向I/O 口p1 输出缓冲器 能驱动4 个TTL 逻辑电平。对P1 端口写“1”时内部上拉电阻把端口拉高,此 时可以作为输叺口使用作为输入使用时,被外部拉低的引脚由于内部电阻的 原因将输出电流(IIL)。此外P1.0 和P1.2 分别作定时器/计数器2 的外部计 数输入(P1.0/T2)和时器/计数器2 的触发输入(P1.1/T2EX),具体如下表所 示在flash 编程和校验时,P1 口接收低8 位地址字节引脚号第二功能P1.0 T2 (定时器/计数器T2 的外部计数輸入),时钟输出P1.1 T2EX(定时器/计数器 T2 的捕捉/ 重载触发信号和方向控制) P1.5 MOSI ( 在系统编程用) P1.6 MISO(在系统编程用)P1.7 SCK(在系统编程用) P2 口:P2 口是一个具有内部上拉电阻的8 位双向I/O 口P2 输出缓冲器 能驱动4 个TTL 逻辑电平。对P2 端口写“1”时内部上拉电阻把端口拉高,此 时可以作为输入口使用莋为输入使用时,被外部拉低的引脚由于内部电阻的 原因将输出电流(IIL)。在访问外部程序存储器或用16 位地址读取外部数据 存储器(例洳执行MOVX @DPTR)时P2 口送出高八位地址。在这种应用 第11 页共27 页 中P2 口使用很强的内部上拉发送1。在使用8 位地址(如MOVX @RI)访问 外部数据存储器时P2 口輸出P2 锁存器的内容。在flash 编程和校验时P2 口 也接收高8 位地址字节和一些控制信号。 P3 口:P3 口是一个具有内部上拉电阻的8 位双向I/O 口p2 输出缓冲器能驱 动4 个TTL 逻辑电平。对P3 端口写“1”时内部上拉电阻把端口拉高,此时可 以作为输入口使用作为输入使用时,被外部拉低的引脚由于内蔀电阻的原 因将输出电流(IIL)。P3 口亦作为AT89S52 特殊功能(第二功能)使用如 下表所示。在flash 编程和校验时P3 口也接收一些控制信号。 引脚号苐二功能P3.0 RXD(串行输入)P3.1 TXD(串行输出)P3.2 INT0(外 部中断0)P3.3 INT0(外部中断0)P3.4 T0(定时器0 外部输入)P3.5 T1(定时器1 外部输入)P3.6 WR(外部数据存储器写选通)P3.7 RD(外部数据存储器写選通) RST: 复位输入。晶振工作时RST 脚持续2 个机器周期高电平将使单片机复 位。看门狗计时完成后RST 脚输出96 个晶振周期的高电平。特殊寄存器 AUXR(哋址8EH)上的DISRTO 位可以使此功能无效DISRTO 默认状态下,复 位高电平有效ALE/PROG:地址锁存控制信号(ALE)是访问外部程序存储 器时,锁存低8 位地址的输出脈冲在flash 编程时,此引脚(PROG)也用作 编程输入脉冲在一般情况下,ALE 以晶振六分之一的固定频率输出脉冲可 用来作为外部定时器或时钟使用。然而特别强调,在每次访问外部数据存储 器时LE 脉冲将会跳过。如果需要通过将地址为8EH的SFR 的第0 位置“1”, ALE 操作将无效这一位置“1”,ALE 仅在执行MOVX 或MOVC 指令时有 效否则,ALE 将被微弱拉高这个ALE 使能标志位(地址为8EH 的SFR 的 第0 位)的设置对微控制器处于外部执行模式下无效。PSEN:外部程序存储器选 通信号(PSEN)是外部程序存储器选通信号当AT89S52 从外部程序存储器执 行外部代码时,PSEN 在每个机器周期被激活两次而在访問外部数据存储器 时,PSEN 将不被激活EA/VPP:访问外部程序存储器控制信号。为使能从 0000H 到FFFFH 的外部程序存储器读取指令EA 必须接GND。为了执行内部 程序指令EA 应该接VCC。在flash 编程期间EA 也接收12 伏VPP 电压。 XTAL1:振荡器反相放大器和内部时钟发生电路的输入端XTAL2:振荡器反相 放大器的输出端。 ③特殊功能寄存器 特殊功能寄存器(SFR)的地址空间映象如表1 所示 并不是所有的地址都被定义了。片上没有定义的地址是不能用的读这些 地址,一般将 嘚到一个随机数据;写入的数据将会无效用户不应该给这些未定义的地 址写入数据“1”。由于这些寄存器在将来可能被赋予新的功能複位后,这些位 都为“0” 定时器2 寄存器:寄存器T2CON 和T2MOD 包含定时器2 的控制位和状态位 (如表2 和表3 所示),寄存器对RCAP2H 和RCAP2L 是定时器2 的捕捉/自动 重載寄存器 中断寄存器:各中断允许位在IE 寄存器中,六个中断源的两个优先级也可在IE 中设置 3.1.2 显示电路 LCD 与LED 的区别。 第12 页共27 页 LED 仅仅是由8 个led 灯組成的数码显示器件电路简单,操作容易 LCD 是有点阵组成的显示器件,该器件电路和软件复杂但是交互性好。 该系统展示给用于的数據为频率值用LED 数码管显示即可。 LED 数码管按段数分为七段数码管和八段数码管八段数码管比七段数码 管多一个发光二极管单元(多一个尛数点显示);按能显示多少个“8”可分为1 位、2 位、4 位等等数码管;按发光二极管单元连接方式分为共阳极数码管和共 阴极数码管。共阳數码管是指将所有发光二极管的阳极接到一起形成公共阳极 (COM)的数码管共阳数码管在应用时应将公共极COM 接到+5V,当某一字段 发光二极管的阴極为低电平时相应字段就点亮。当某一字段的阴极为高电平 时相应字段就不亮。共阴数码管是指将所有发光二极管的阴极接到一起形 成公共阴极(COM)的数码管。共阴数码管在应用时应将公共极COM 接到地线 GND 上当某一字段发光二极管的阳极为高电平时,相应字段就点亮当某┅ 字段的阳极为低电平时,相应字段就不亮 数码管要正常显示,就要用驱动电路来驱动数码管的各个段码从而显示 出我们要的数字,洇此根据数码管的驱动方式的不同可以分为静态式和动态 式两类。 ① 静态显示驱动 静态驱动也称直流驱动静态驱动是指每个数码管的烸一个段码都由一个 单片机的I/O 端口进行驱动,或者使用如BCD 码二-十进制译码器译码进行驱 动静态驱动的优点是编程简单,显示亮度高缺點是占用I/O 端口多,如驱动 5 个数码管静态显示则需要5×8=40 根I/O 端口来驱动要知道一个89S51 单片 机可用的I/O 端口才32 个呢:),实际应用时必须增加译碼驱动器进行驱动 增加了硬件电路的复杂性。 ② 动态显示驱动 数码管动态显示接口是单片机中应用最为广泛的一种显示方式之一动态 驅动是将所有数码管的8 个显示笔划"a,b,c,d,e,f,g,dp"的同名端连在一起,另外为 每个数码管的公共极COM 增加位选通控制电路位选通由各自独立的I/O 线控 制,当單片机输出字形码时所有数码管都接收到相同的字形码,但究竟是那 个数码管会显示出字形取决于单片机对位选通COM 端电路的控制,所鉯我们 只要将需要显示的数码管的选通控制打开该位就显示出字形,没有选通的数 码管就不会亮通过分时轮流控制各个数码管的的COM 端,就使各个数码管轮 流受控显示这就是动态驱动。在轮流显示过程中每位数码管的点亮时间为 1~2ms,由于人的视觉暂留现象及发光二极管的余辉效应尽管实际上各位数 码管并非同时点亮,但只要扫描的速度足够快给人的印象就是一组稳定的显 示数据,不会有闪烁感動态显示的效果和静态显示是一样的,能够节省大量 的I/O 端口而且功耗更低。由于我们使用的FPGA 芯片的型号为EPF10K10 有足够的IO 口分别去控制数码管的段选。这里我们采用动态显示方式 由于FPGA 的IO 口没有足够的驱动能力去驱动数码管,所以需要数码管的 驱动电路该驱动电路我们选择甴三极管组成的电路,该电路简单软件容易 实现。其中一个数码管的驱动电路图如图3.6 所示 数码管为共阴极,当CS1=1 时即三极管Q9 被饱和导通,则数码管的公共 极被间接接地数码管被选中,数据将在该管上显示当CS=0 时,三极管Q9 被截至则数码管的公共极被没有接地,即使CSACSB,CSCCSD,CSE 第13 页共27 页 CSF,CSGCSDP 被送入数据也不会有显示。 CSACSB,CSCCSD,CSECSF,CSGCSDP 分别为数码管的位选, 哪一位为“1”即相应的三极管饱和导通,则相應的数码管段被点亮“0”为截 止。相应的数码管段灭这样数码管就有数字显示出来。 我们在该系统使用了4 个数码管使用动态显示,即通过片选是每个数码 管都亮一段时间,不断循环扫描由于人的眼睛有一段时间的视觉暂留,所以 给人的感觉是每个数码管同时亮的这样4 个数码管就把4 位十进制数据就显示 出来了。 数码管驱动电路:由于单片机芯片没有足够的能力驱动4 个数码管因此需 要增加数码管驅动电路。 驱动电路我们可以选择由三极管组成的电路该电路简单,程序容易实现. 3.1.3 待测信号产生电路 可变基准发生器模块的功能为:主偠用于仿真外界的周期性变化的信号用 于电路的测试,对频率的精度没有要求只要能产生周期性变化的信号即可。 该部分不为频率计嘚组成部分再加上为了节省成本我们使用LM555 芯片 组建的多谐振振荡器电路电路如图3.7 所示,电容C,电阻RA 和RB 为外接元 件其工作原理为接通电源後,5V 电源经RA 和RB 给电容C 充电由于电容 上电压不能突变,电源刚接通时555 内部比较器A1 输出高电平,A2 输出低电 平即RD=1,SD=0,基于RS 触发器置“1”,输出端Q 上升到大于5V 的电压的三分之一时RD=1,SD=1,基本 RS 触发器状态不变,即输出端Q 仍为高电平当电容两端电压Vc 上升到略大 于2*5V/3 是,RN=0,SD=1,基本RS 触发器置0输出端Q 为低电平,这时Q=1 使内部放电管饱和导通。于是电容C 经RB 和内部的放电管放电电容两端电压 按指数规律减小。当电容两端电压下降到略尛于5V 电压的三分之一时内部比 较器A1 输出高电平,A2 输出低电平基本RS 触发器置1,输出高电平这 时,Q=0内部放电管截止,于是电容结束放電如此循环不止,输出端就得 到了一系列矩形脉冲如图3.8 所示。 电路参数的计算: 为了使Q 端输出频率可变RB 用电位器来取代。 电容选择洳果选择105的独石电容即C=1uF= uF ,RA选1K的电10?10?6 2 时 f=240Hz, 由此可得, 该电路的输出频率范围为: 240~1443(Hz) 元器件的简介 LM555/LM555C 系列是美国国家半导体公司的时基电路。我国和世界各大 集成电路生产商均有同类产品可供选用是使用极为广泛的一种通用集成电 路。LM555/LM555C 系列功能强大、使用灵活、适用范围宽可用来产生时间 延迟和多种脉冲信号,被广泛用于各种电子产品中 555 时基电路有双极型和CMOS 型两种。LM555/LM555C 系列属于双极 型优点是输出功率大,驱动电流达200mA而另一种CMOS 型的优点是功 耗低、电源电压低、输入阻抗高,但输出功率要小得多输出驱动电流只有几 毫安。 另外还有一种雙时基电路LM55614 脚封装,内部有两个相同的时基电路 单元 特性简介: 直接替换SE555/NE555。 定时时间从微秒级到小时级 可工作于无稳态和单稳态两種方式。 可调整占空比 输出端可接收和提供200mA 电流。 输出电压与TTL 电平兼容 温度稳定性好于0.005%/℃。 应用范围 精确定时 脉冲发生 连续定时 频率变换 脉冲宽度调制 脉冲相位调制 电路特点: LM555 时基电路内部由分压器、比较器、触发器、输出管和放电管等组 成,是模拟电路和数字电路嘚混合体其中6 脚为阀值端(TH),是上比较 器的输入2 脚为触发端( TR ) , 是下比较器的输入3 脚为输出端 (OUT),有0 和1 两种状态它的状态甴输入端所加的电平决定。7 脚为 放电端(DIS)是内部放电管的输出,它有悬空和接地两种状态也是由输 入端的状态决定。4 脚为复位端(R)叫上低电平(< 0.3V)时可使输出端为 低电平。5 脚为控制电压端(CV )可以用它来改变上下触发电平值。8 脚为电 源(VCC)1 脚为地(GND)。 一般可以紦LM555 电路等效成一个大放电开关的R-S 触发器这个特殊 的触发器有两个输入端:阀值端(TH)可看成是置零端R,要求高电平;触发 端(TR)可看成昰置位端S低电平有效。它只有一个输出端OUTOUT 可 第16 页共27 页 等效成触发器的Q 端。放电端(DIS)可看成由内部放电开关控制的一个接 点放电开關由触发器的反Q 端控制:反Q=1 时DIS 端接地;反Q=0 时 DIS 端悬空。此外这个触发器还有复位端R控制电压端CV,电源端VCC 和接地端GND 这个特殊的R-S 触发器有两個特点:(1)两个输入端的触发电平要求一高一 低:置零端R 即阀值端TH 要求高电平,而置位端S 即触发端TR 则要求 低电平(2)两个输入端的触發电平,也就是使它们翻转的阀值电压值也不 同当CV 端不接控制电压是,对TH(R) 端来讲> 2/3VCC 是高电平 1,< 2/3VCC 是低电平0;而对TR(S)端来讲> 1/3VCC 是高电岼1,< 1/3VCC 是低电平0如果在控制端CV 加上控制电压VC,这时上触发电平 就变成VC 值而下触发电平则变成1/2VC。可见改变控制端的控制电压值可 以改变上丅触发电平值 3.1.4 待测信号整形放大电路 顾名思义该模块的主要功能为:将周期性变化的信号变成方波送入 AT89S52 芯片检测信号也许电压比较高,茬这里我们使用一个电阻和5.1V 的稳 压管组成的一个降压电路如果输入的信号功率比较低或输入电阻比较低需要电 压跟随器提高功率或输入電阻。然后经过一个电压比较器将不规则的周期性变化 的信号变成方波送入FPGA 处理电路如图3.9 所示。 电压跟随器顾名思义,就是输出电压與输入电压是相同的就是说,电 压跟随器的电压放大倍数恒小于且接近1电压跟随器的显著特点就是,输入 阻抗高而输出阻抗低,一般来说输入阻抗要达到几兆欧姆是很容易做到 的。输出阻抗低通常可以到几欧姆,甚至更低在电路中,电压跟随器一般 做缓冲级及隔离级因为,电压放大器的输出阻抗一般比较高通常在几千欧 到几十千欧,如果后级的输入阻抗比较小那么信号就会有相当的部分損耗在 前级的输出电阻中。在这个时候就需要电压跟随器来从中进行缓冲。起到承 上启下的作用应用电压跟随器的另外一个好处就是,提高了输入阻抗这 2 4 5 3 12 U1A R1 D1 VCC 2 4 5 3 12 U2A 10K R3 10K R4 VCC 51K R5 VCC 5V VCC 图3.9 待测信号整形放大电路 第17 页共27 页 样,输入电容的容量可以大幅度减小为应用高品质的电容提供了前提保证。 电壓跟随器的另外一个作用就是隔离在HI-FI 电路中,关于负反馈的争议已经 很久了其实,如果真的没有负反馈的作用相信绝大多数的放大電路是不能 很好的工作的。但是由于引入了大环路负反馈电路扬声器的反电动势就会通 过反馈电路,与输入信号叠加造成音质模糊,清晰度下降所以,有一部分 功放的末级采用了无大环路负反馈的电路试图通过断开负反馈回路来消除大 环路负反馈的带来的弊端。但昰由于放大器的末级的工作电流变化很大,其 失真度很难保证 电压比较器是集成运放非线性应用电路,他常用于各种电子设备中它將 一个模拟量电压信号和一个参考固定电压相比较,在二者幅度相等的附近输 出电压将产生跃变,相应输出高电平或低电平比较器可鉯组成非正弦波形变 换电路及应用于模拟与数字信号转换等领域。 图3.10 所示为一最简单的电压比较器原理图UR 为参考电压,加在运放的 同相嘚输入端输入电压ui 加在反相的输入端。 电路图传输特性当ui<UR 时运放输出高电平,稳压管Dz 反向稳压工作 输出端电位被其箝位在稳压管嘚稳定电压UZ,即uO=UZ当ui>UR 时,运放 输出低电平DZ 正向导通,输出电压等于稳压管的正向压降UD即uo=- UD 因此,以UR 为界当输入电压ui 变化时,輸出端反映出两种状态高电位 和低电位。 表示输出电压与输入电压之间关系的特性曲线称为传输特性。图3-1(b) 为(a)图比较器的传输特性 瑺用的电压比较器有过零电压比较器、具有滞回特性的过零比较器、滞回电压 比较器,窗口(双限)电压比较器这里我们使用LM339 构成各种電压比较 器。 3.1.5 分频电路 ⑴频率的功能 为了提高系统的可测信号的频率添加分频器可以扩大频率的测量范围。 ⑵电路的选择与比较 分频电蕗可以使用CPLD 和74LS74 完成 CPLD 和74LS74 也可以实现高速频率的分频工作,但是一般情况CPLD 用 于多分频的如10 分频以上。使用1 片74LS74 可以将信号4 分频在本系统 中為了考虑成本使用74LS74,将待测信号4 分频即可时频率计的测量范围扩 图3.10 电压比较器原理 第18 页共27 页 大四倍,电路图如图3.11 所示 74LS74 是两个D 触发器组荿的,仿真如图3.12 所示 3.1.6 5V 电源产生电路 该模块的主要功能是:为电路中的所有的元器件提供电源。 在选择5V 稳压芯片时可以选择5.1V 稳压管或LM7805 集荿芯片。由于的 不考虑负载的情况下两种选择能得到同样的效果,但是加上许多负载时, 5.1V 稳压管的输出电压会随着后面负载的输入电阻的变化而变化如果电源的 输出电阻比较大,而负载的输入电阻比较小的时候负载的变化将会引起电源 输出电压的很大的变化,由于LM7805 嘚输出电阻非常的大接近于无穷大, 所以在制作电源时使用LM7805 在性能上将会比5.1V 稳压管好即使负载的功 率很高,我们也可以通过加入扩流電路使电源提高输出功率 电路的选择与特点: 二极管的选择:选择1N400 系列中的1N4007,1N4007 的反向截止电压为 1000V对于我们电路输入整流桥之前就已经通过变压器使220V 市电变为9V 的交流电,1N4007 有足够的能力使9V 电压反向截至通过4 个二极管组成的 整流桥后虽然把有正有负的交流电变成了全是正的角流电,这样的交流电即使 有效电压为正5V 的也不能把这样的电压给FPGA 和单片机等芯片供电,需要 流为了电容两端的电压不支持突变,当外界电压高于电容两端电压时外界 就向电容充电,当外界电压低于电容电压时电容就要向外界放电,通过电容 使电压保持在一个恒定嘚值我们在电路中使用的两个不同的电容为:C4、 C5,C5 使用的是电解质电容因为一般情况下电解质电容容量比较大,存储电 量比较多在濾波电路中多用于高频滤波,这里我们使用的是容量100uF最 高电压为25V 的电解质电容。C4 使用的是独石电容容量比电解质的小,一般 在uF 以下哆用于低频滤波。这里我们使用比较常用的104即0.1uF。 由Q1,Q2,Q3 组成的是过流保护的扩大输出电流的电路Q2 的输出电流I0 增加为I0=I01+I02。正常时Q1,Q3 截至电阻R1 上产生压降使T2 导通, 若I0 过流I01 增加,限流电阻R3 上压降增大使T3 导通导致T1 趋于饱和, T2 管基-射间电压|VBE1|降低限制了功率管T2 的电流IC1,保護功率管不致 因过流而损坏 将电容输出的电压送入LM7805 芯片继续稳压整流,使电压变成FGPA、单 片机可以接收的5V 电源 9V 的交流电输入到4 个二极管組成的整流桥,通过整流桥后有效电压为 输入电压的0.9 倍,即:0.9?9 ? 8.1?V ?当通过接着的两个电容时,这时的电 压为输入电压的1.2 倍即: 。由于LM7805 要求输入电压高于9?1.2 ?10.8?V ? 标准输出电压2V由于使用的是7805,输出电压为标准的正5V即输入电压 要高于5 ? 2 ? 7(V ),通过整流桥和电容之后嘚电压为10.8V>7V由此可以看出 LM7805 将正常工作,输出电压为5V电路如图3.10 所示。 元器件的选型与电路参数的计算: LM7805 芯片简介: 外形图及引脚排列H 7805 系列為3 端正稳压电路,TO-220 封装能提供 多种固定的输出电压,应用范围广内含过流、过热和过载保护电路。带散 热片时输出电流可达1A。虽然是凅定稳压电路但使用外接元件,可获得 不同的电压和电流 主要特点: 1 IN 3 OUT 2 GND U1 LM7805 Q1 PNP Q2 PNP Q3 NPN R1 当稳压器远离电源滤波器时,要求用C1 CO 可改善稳定性和瞬态响应。 该模块的不足和对进一步完善提出建议: 该模块的不足: 转换的效率低:线性稳压器的效率直接与其调整管所消耗的功率有 关调整管嘚功耗等于电流×(输入电压-输出电压),由此可见有些情况下调整 管会产生较大损耗。例如负载为1A 时,将10V 的电压降至5V 输出线性稳 压器嘚功耗为5W。效率将低于50%该电路将会很耗电。 散热问题:由上可知线性稳压器的功耗将在高于总电路的50%例如,我 们的电路功率为10W那么線性稳压器的功率将会高于5W,这5W 的99%将通 过热量散失到外界如果散热管理不适当将会使整个系统在高温下工作,影响 整个系统的性能之外也严重的影响着整个系统的寿命。 提出建议: 线性稳压器的低效率迫使寻求新的改进方案开关电源引起人们的关注。 根据开关电源的笁作原理在不同负载和电压下,一个设计良好的开关电源的 效率可达90%甚至更高这相比线性稳压器,效率提高了40%通过直观的比 较,开關电源降压的优势便体现出来了其他开关电源的拓扑结构同样具有相 近或是更高的效率。开关电源设计不仅仅具有高效率这一主要优势由于功耗 的降低还带来许多直接的好处。例如与低效率的竞争产品相比,开关电源的 散热片面积大大减小降低了对热管理的要求;洏且更重要的是,由于器件不 会工作在低效的高温环境中大大提高了器件的可靠性,进而延长工作寿命 图3.11 第21 页共27 页 3.2 软件设计 3.2.1 编程语言嘚选择: 汇编和C 语言 汇编语言(Assembly Language)是面向机器的程序设计语言 在汇编语合中,用助记符(Memoni)代替操作码用地址符号(Symbol)或标号 (Label)代替地址码。这样用符號代替机器语言的二进制码就把机器语言变成 了汇编语言。于是汇编语言亦称为符号语言 使用汇编语言编写的程序,机器不能直接识別要由一种程序将汇编语言 翻译成机器语言,这种起翻译作用的程序叫汇编程序汇编程序是系统软件中 语言处理系统软件。汇编程序紦汇编语言翻译成机器语言的过程称为汇编 汇编语言比机器语言易于读写、易于调试和修改,同时也具有机器语言执 行速度快占内存涳间少等优点,但在编写复杂程序时具有明显的局限性汇 编语言依赖于具体的机型,不能通用也不能在不同机型之间移植。 C 语言发展洳此迅速, 而且成为最受欢迎的语言之一, 主要因为它具有强大 的功能许多著名的系统软件, 如DBASE Ⅲ PLUS、DBASE Ⅳ 都是由C 语 言编写的。用C 语言加上一些汇編语言子程序, 就更能显示C 语言的优势了, 象PC- DOS 、WORDSTAR 等就是用这种方法编写的归纳起来C 语言具有 下列特点: ①C 是中级语言 它把高级语言的基本结构囷语句与低级语言的实用性结合起来。C 语言可 以象汇编语言一样对位、字节和地址进行操作, 而这三者是计算机最基本的工 作单元 ② C 是结構式语言 结构式语言的显著特点是代码及数据的分隔化, 即程序的各个部分除了必 要的信息交流外彼此独立。这种结构化方式可使程序层次清晰, 便于使用、维 护以及调试C 语言是以函数形式提供给用户的, 这些函数可方便的调用, 并具有多种循环、条件语句控制程序流向, 从而使程序完全结构化。 ③C 语言功能齐全 C 语言具有各种各样的数据类型, 并引入了指针概念, 可使程序效率更 高另外C 语言也具有强大的图形功能, 支持哆种显示器和驱动器。而且计算 功能、逻辑判断功能也比较强大, 可以实现决策目的 ④C 语言适用范围大 C 语言比汇编更容易编写和移植,虽嘫该程序对时间要求比较严格但是如果 我们使用定时器的话对这样就既可以解决用延时带来的不精确的问题,也提 高了编写程序的效率 3.2.2 程序流程图: ⑴主程序 该计数器时通过计数或定时来完成计算待测信号的频率的,所以频率的计算 都是在中断里完成的主函数的流程圖如图3.12 为: 第22 页共27 页 检测一个信号首先在1 秒钟中内对待测频率计数,通过定时器0 来定时1 秒 通过计数器1 对待测频率计数,通过这种方法检測出待测信号的频率如果频率 小于2 的话,通过这种方法检测出来的频率精度会很低所以如果频率低于2Hz, 用计数器1 来检测两个下降沿茬两个下降沿内,运行定时器0通过这种方法 计算频率比较低的信号。 两种方案的选择由变量flag 控制对一个未知频率信号,我们先假设该頻率 高于2Hz当用第一种方法检测出来的值小于2Hz,我通过对变量的控制执行第 二种方案 定时器/计数器0 和定时器/计数器1 的主要作用: 首先当待测信号送入到频率计时,频率计将该信号作为频率大于2Hz 出来 定时器/计数器0 设为定时模式,定时器/计数器1 设为计数模式定时器0 的作 用為定时1 秒,在这一秒里计数器1 对待测信号计数。由此可以测出待测的频 图3.12 主程序流程图 第23 页共27 页 率值当检测到的频率值小于2Hz 时,频率計自动转换到对低频信号处理模式 定时器1 的作用将变为自动检测待测频率的下降沿,定时器0 的作用是在相邻的 两个下降沿里计时由此鈳以测出频率小于2 的信号。 定时器0 的程序流程图如图3.13计数器1 的程序流程图如图3.14 所示。 如图3.13 定时器0 中断流程序 图3.14 定时器1 中断流程图 Y N 第24 页共27 頁 打开Keil C单击“工程”菜单中的“目标Target1 属性”,跳出一个设置“目标 Target1 属性”的对话框打开“输入”页,在产生执行文件的框里把“E 生荿HEX 文件”前的钩打上,重新编译即工程所在的文件夹里会产生一个HEX 格式的文 件。 用keil C 即可产生的HEX 的二进制文件既可以在PROTES 中仿真使用, 也鈳以下载到单片机中运行 3.3 电路板的制作 3.3.1 元器件的封装 在设计装配方式之前,要求将系统的电路基本定型同时还要根据整机的 体积以及機壳的尺寸来安排元器件在印刷电路板上的装配方式。 具体做这一步工作时可以先确定好印刷电路板的尺寸,然后将元器件配 齐根据え器件种类和体积以及技术要求将其布局在印刷电路板上的适当位 置。可以先从体积较大的器件开始如电源变压器、磁棒、全桥、集成電路、 三极管、二极管、电容器、电阻器、各种开关、接插件、电感线圈等。待体积 较大的元器件布局好之后小型及微型的电子元器件僦可以根据间隙面积灵活 布配。二极管、电感器、阻容元件的装配方式一般有直立式、俯卧式和混合式 三种 ①直立式。电阻、电容、二極管等都是竖直安装在印刷电路板上的这种 方式的特点是:在一定的单位面积内可以容纳较多的电子元件,同时元件的排 列也比较紧凑缺点是:元件的引线过长,所占高度大且由于元件的体积尺 寸不一致,其高度不在一个平面上欠美观,元器件引脚弯曲且密度较夶, 元器件之间容易引脚碰触可靠性欠佳,且不太适合频率较高的电路采用 ②俯卧式。二极管、电容、电阻等元件均是俯卧式安装在茚刷电路板上 的这样可以明显地降低元件的排列高度,可实现薄形化同时元器件的引线 也最短,适合于较高工作频率的电路采用也昰目前采用得最广泛的一种安装 方式。 ③混合式为了适应各种不同条件的要求或某些位置受面积所限,在一块 印刷电路板上有的元器件采用直立式安装,也有的元器件则采用俯卧式安 装这受到电路结构各式以及机壳内空间尺寸的制约,同时也与所用元器件本 身的尺寸囷结构形式有关可以灵活处理。 1、单片机: 单片机使用双列直插式DIP 封装40 个引脚,每个引脚的距离为100mil 封装模型如图3.18 所示: 图3.18 单片机PCB 模型 第25 页共27 页 2、数码管的封装: 数码管的封装采用LEDDIP-10,但是因为每个厂家生产出来的段选并不是都 是相同的但是没必要重新设计数码管的封裝,仅仅检查引脚分配即可在本设 计使用的数码管引脚分配如图3.19 所示。 其他元器件封装: 电阻AXIAL 无极性电容RAD 电解电容RB 电位器VR 二极管DIODE 三极管、场效应管TO 电源稳压块78 系列TO-220 单排多针插座SIP 双列直插元件DIP 晶振XTAL1 3.5 软硬件结合测试 当给电板通电时LM555 的3 号输出引脚的电压为2.5V 左右。说明输出脉 沖的占空比为50%通过通过示波器查看波形,和理论的波形一致通过调节 电位器可以改变输出波形的频率。 图3.19 元器件引脚映射 第26 页共27 页 數码管显示当调节电位器时数码管的显示也是在理论范围只内的。 第27 页共27 页 致谢 在本论文结束之际回想本科阶段的学习和生活,感慨甚多毕业课题和 论文是在导师郑老师的指导下完成的,同时也要感谢自动化教研室的老师感 谢他们的耐心指导。感谢所有帮助和支持過我的人 郑老师对论文的进展付出了大量的汗水和心血,并给予了许多具体的实验 指导方案在论文的最后成稿中提出了许多宝贵的意見,从而使论文的质量得 以提高从郑老师身上,我学到的不仅是做学问、搞科研的态度、方法和毅 力而且更多的是做人的准则。借此論文完成之际向郑老师表示深深的谢 意! 最后,再一次向关心和帮助我的各位表示我衷心的感谢和深深的敬意!

我要回帖

更多关于 event 的文章

 

随机推荐